recreate.tcl 44 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827
  1. #*****************************************************************************************
  2. # Vivado (TM) v2024.1 (64-bit)
  3. #
  4. # recreate.tcl: Tcl script for re-creating project 'pcie1234_ex'
  5. #
  6. # Generated by Vivado on Wed Oct 09 12:53:56 +0300 2024
  7. # IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
  8. #
  9. # This file contains the Vivado Tcl commands for re-creating the project to the state*
  10. # when this script was generated. In order to re-create the project, please source this
  11. # file in the Vivado Tcl Shell.
  12. #
  13. # * Note that the runs in the created project will be configured the same way as the
  14. # original project, however they will not be launched automatically. To regenerate the
  15. # run results please launch the synthesis/implementation runs as needed.
  16. #
  17. #*****************************************************************************************
  18. # NOTE: In order to use this script for source control purposes, please make sure that the
  19. # following files are added to the source control system:-
  20. #
  21. # 1. This project restoration tcl script (recreate.tcl) that was generated.
  22. #
  23. # 2. The following source(s) files that were local or imported into the original project.
  24. # (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script)
  25. #
  26. # "D:/testPci/pcie1234_ex/pcie1234_ex.srcs/sources_1/ip/pcie1234/pcie1234.xci"
  27. # "D:/testPci/pcie1234_ex/pcie1234_ex.srcs/sources_1/ip/ClkPllSysTo125/ClkPllSysTo125.xci"
  28. #
  29. # 3. The following remote source files that were added to the original project:-
  30. #
  31. # "C:/PciVnaEmul_Repo/src/PulseMeas/ActivePortSelector.v"
  32. # "C:/PciVnaEmul_Repo/src/InternalDsp/AdcCalibration.v"
  33. # "C:/PciVnaEmul_Repo/src/InternalDsp/ComplPrng.v"
  34. # "C:/PciVnaEmul_Repo/src/InternalDsp/CordicNco.v"
  35. # "C:/PciVnaEmul_Repo/src/InternalDsp/CordicRotation.v"
  36. # "C:/PciVnaEmul_Repo/src/DitherGen/DitherGenv2.v"
  37. # "C:/PciVnaEmul_Repo/src/InternalDsp/DspPipeline.v"
  38. # "C:/PciVnaEmul_Repo/src/PciE/EP_MEM.v"
  39. # "C:/PciVnaEmul_Repo/src/Math/FpCustomMultiplier.v"
  40. # "C:/PciVnaEmul_Repo/src/GainOverloadControl/GainControl.v"
  41. # "C:/PciVnaEmul_Repo/src/GainOverloadControl/GainControlWrapper.v"
  42. # "C:/PciVnaEmul_Repo/src/InitRst/InitRst.v"
  43. # "C:/PciVnaEmul_Repo/src/Top/IntermediateLogic.v"
  44. # "C:/PciVnaEmul_Repo/src/InternalDsp/InternalDsp.v"
  45. # "C:/PciVnaEmul_Repo/src/InternalDsp/MeasCtrlModule.v"
  46. # "C:/PciVnaEmul_Repo/src/PulseMeas/MeasStartEventGen.v"
  47. # "C:/PciVnaEmul_Repo/src/Math/MultModule.v"
  48. # "C:/PciVnaEmul_Repo/src/PulseMeas/Mux.v"
  49. # "C:/PciVnaEmul_Repo/src/Math/MyIntToFp.v"
  50. # "C:/PciVnaEmul_Repo/src/InternalDsp/NcoRstGen.v"
  51. # "C:/PciVnaEmul_Repo/src/GainOverloadControl/OverloadDetect.v"
  52. # "C:/PciVnaEmul_Repo/src/PulseMeas/PGenRstGenerator.v"
  53. # "C:/PciVnaEmul_Repo/src/PciE/PIO.v"
  54. # "C:/PciVnaEmul_Repo/src/PciE/PIO_EP.v"
  55. # "C:/PciVnaEmul_Repo/src/PciE/PIO_EP_MEM_ACCESS.v"
  56. # "C:/PciVnaEmul_Repo/src/PciE/PIO_RX_ENGINE.v"
  57. # "C:/PciVnaEmul_Repo/src/PciE/PIO_TO_CTRL.v"
  58. # "C:/PciVnaEmul_Repo/src/PciE/PIO_TX_ENGINE.v"
  59. # "C:/PciVnaEmul_Repo/src/PulseMeas/PulseGen.v"
  60. # "C:/PciVnaEmul_Repo/src/RegMap/RegMap.v"
  61. # "C:/PciVnaEmul_Repo/src/Top/S5443Top.v"
  62. # "C:/PciVnaEmul_Repo/src/PulseMeas/SampleStrobeGenRstDemux.v"
  63. # "C:/PciVnaEmul_Repo/src/Math/SimpleMult.v"
  64. # "C:/PciVnaEmul_Repo/src/PulseMeas/StartAfterGainSel.v"
  65. # "C:/PciVnaEmul_Repo/src/Math/SumAcc.v"
  66. # "C:/PciVnaEmul_Repo/src/PulseMeas/TrigInt2Mux.v"
  67. # "C:/PciVnaEmul_Repo/src/InternalDsp/WinParameters.v"
  68. # "C:/PciVnaEmul_Repo/src/InternalDsp/Win_calc.v"
  69. # "C:/PciVnaEmul_Repo/src/PciE/pcie1234_pipe_clock.v"
  70. # "C:/PciVnaEmul_Repo/src/PciE/pcie1234_support.v"
  71. # "C:/PciVnaEmul_Repo/src/PciE/pcie_app_7x.v"
  72. # "C:/PciVnaEmul_Repo/src/PciE/xilinx_pcie_2_1_ep_7x.v"
  73. # "C:/PciVnaEmul_Repo/src/Top/PciVnaEmulTop.v"
  74. # "C:/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_pl.v"
  75. # "C:/PciVnaEmul_Repo/src/ClkGen/Clk200Gen.v"
  76. # "C:/PciVnaEmul_Repo/src/ExtDspInterface/DspPpiOut.v"
  77. # "C:/PciVnaEmul_Repo/src/PciE/pci_exp_expect_tasks.vh"
  78. # "C:/PciVnaEmul_Repo/src/PciE/sys_clk_gen.v"
  79. # "C:/PciVnaEmul_Repo/src/AdcDataRx/delay_controller_wrap.v"
  80. # "C:/PciVnaEmul_Repo/src/PciE/xilinx_pcie_2_1_rport_7x.v"
  81. # "C:/PciVnaEmul_Repo/src/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v"
  82. # "C:/PciVnaEmul_Repo/src/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v"
  83. # "C:/PciVnaEmul_Repo/src/PciE/pcie1234_gt_top_pipe_mode.v"
  84. # "C:/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_cfg.v"
  85. # "C:/PciVnaEmul_Repo/src/AdcDataRx/AdcDataInterface.v"
  86. # "C:/PciVnaEmul_Repo/src/MeasDataFifo/FifoController.v"
  87. # "C:/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_tx.v"
  88. # "C:/PciVnaEmul_Repo/src/AdcDataRx/AdcSync.v"
  89. # "C:/PciVnaEmul_Repo/src/ExtDspInterface/DspInterface.v"
  90. # "C:/PciVnaEmul_Repo/src/AdcDataRx/serdes_1_to_7_slave_idelay_sdr.v"
  91. # "C:/PciVnaEmul_Repo/src/PciE/pipe_interconnect.vh"
  92. # "C:/PciVnaEmul_Repo/src/ExtDspInterface/SlaveSpi.v"
  93. # "C:/PciVnaEmul_Repo/src/PciE/xil_sig2pipe.v"
  94. # "C:/PciVnaEmul_Repo/src/MeasDataFifo/MeasDataFifoWrapper.v"
  95. # "C:/PciVnaEmul_Repo/src/PulseMeas/PulseGenNew.v"
  96. # "C:/PciVnaEmul_Repo/src/PciE/sample_tests1.vh"
  97. # "C:/PciVnaEmul_Repo/src/PciE/board_common.vh"
  98. # "C:/PciVnaEmul_Repo/src/PciE/pcie_axi_trn_bridge.v"
  99. # "C:/PciVnaEmul_Repo/src/AdcDataRx/top5x2_7to1_sdr_rx.v"
  100. # "C:/PciVnaEmul_Repo/src/PciE/pcie_2_1_rport_7x.v"
  101. # "C:/PciVnaEmul_Repo/src/PciE/sys_clk_gen_ds.v"
  102. # "C:/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_rx.v"
  103. # "C:/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_com.v"
  104. # "C:/PciVnaEmul_Repo/src/PciE/tests.vh"
  105. # "C:/PciVnaEmul_Repo/constr/xilinx_pcie_7x_ep_x1g1.xdc"
  106. # "C:/PciVnaEmul_Repo/src/PciE/board.v"
  107. #
  108. #*****************************************************************************************
  109. # Check file required for this script exists
  110. proc checkRequiredFiles { origin_dir} {
  111. set status true
  112. set files [list \
  113. "D:/testPci/pcie1234_ex/pcie1234_ex.srcs/sources_1/ip/pcie1234/pcie1234.xci" \
  114. "D:/testPci/pcie1234_ex/pcie1234_ex.srcs/sources_1/ip/ClkPllSysTo125/ClkPllSysTo125.xci" \
  115. ]
  116. foreach ifile $files {
  117. if { ![file isfile $ifile] } {
  118. puts " Could not find local file $ifile "
  119. set status false
  120. }
  121. }
  122. set files [list \
  123. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PulseMeas/ActivePortSelector.v"]"\
  124. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/InternalDsp/AdcCalibration.v"]"\
  125. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/InternalDsp/ComplPrng.v"]"\
  126. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/InternalDsp/CordicNco.v"]"\
  127. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/InternalDsp/CordicRotation.v"]"\
  128. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/DitherGen/DitherGenv2.v"]"\
  129. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/InternalDsp/DspPipeline.v"]"\
  130. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/EP_MEM.v"]"\
  131. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/Math/FpCustomMultiplier.v"]"\
  132. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/GainOverloadControl/GainControl.v"]"\
  133. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/GainOverloadControl/GainControlWrapper.v"]"\
  134. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/InitRst/InitRst.v"]"\
  135. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/Top/IntermediateLogic.v"]"\
  136. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/InternalDsp/InternalDsp.v"]"\
  137. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/InternalDsp/MeasCtrlModule.v"]"\
  138. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PulseMeas/MeasStartEventGen.v"]"\
  139. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/Math/MultModule.v"]"\
  140. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PulseMeas/Mux.v"]"\
  141. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/Math/MyIntToFp.v"]"\
  142. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/InternalDsp/NcoRstGen.v"]"\
  143. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/GainOverloadControl/OverloadDetect.v"]"\
  144. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PulseMeas/PGenRstGenerator.v"]"\
  145. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/PIO.v"]"\
  146. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/PIO_EP.v"]"\
  147. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/PIO_EP_MEM_ACCESS.v"]"\
  148. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/PIO_RX_ENGINE.v"]"\
  149. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/PIO_TO_CTRL.v"]"\
  150. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/PIO_TX_ENGINE.v"]"\
  151. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PulseMeas/PulseGen.v"]"\
  152. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/RegMap/RegMap.v"]"\
  153. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/Top/S5443Top.v"]"\
  154. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PulseMeas/SampleStrobeGenRstDemux.v"]"\
  155. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/Math/SimpleMult.v"]"\
  156. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PulseMeas/StartAfterGainSel.v"]"\
  157. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/Math/SumAcc.v"]"\
  158. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PulseMeas/TrigInt2Mux.v"]"\
  159. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/InternalDsp/WinParameters.v"]"\
  160. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/InternalDsp/Win_calc.v"]"\
  161. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/pcie1234_pipe_clock.v"]"\
  162. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/pcie1234_support.v"]"\
  163. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/pcie_app_7x.v"]"\
  164. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/xilinx_pcie_2_1_ep_7x.v"]"\
  165. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/Top/PciVnaEmulTop.v"]"\
  166. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_pl.v"]"\
  167. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/ClkGen/Clk200Gen.v"]"\
  168. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/ExtDspInterface/DspPpiOut.v"]"\
  169. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/pci_exp_expect_tasks.vh"]"\
  170. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/sys_clk_gen.v"]"\
  171. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/AdcDataRx/delay_controller_wrap.v"]"\
  172. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/xilinx_pcie_2_1_rport_7x.v"]"\
  173. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v"]"\
  174. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v"]"\
  175. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/pcie1234_gt_top_pipe_mode.v"]"\
  176. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_cfg.v"]"\
  177. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/AdcDataRx/AdcDataInterface.v"]"\
  178. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/MeasDataFifo/FifoController.v"]"\
  179. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_tx.v"]"\
  180. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/AdcDataRx/AdcSync.v"]"\
  181. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/ExtDspInterface/DspInterface.v"]"\
  182. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/AdcDataRx/serdes_1_to_7_slave_idelay_sdr.v"]"\
  183. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/pipe_interconnect.vh"]"\
  184. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/ExtDspInterface/SlaveSpi.v"]"\
  185. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/xil_sig2pipe.v"]"\
  186. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/MeasDataFifo/MeasDataFifoWrapper.v"]"\
  187. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PulseMeas/PulseGenNew.v"]"\
  188. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/sample_tests1.vh"]"\
  189. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/board_common.vh"]"\
  190. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/pcie_axi_trn_bridge.v"]"\
  191. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/AdcDataRx/top5x2_7to1_sdr_rx.v"]"\
  192. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/pcie_2_1_rport_7x.v"]"\
  193. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/sys_clk_gen_ds.v"]"\
  194. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_rx.v"]"\
  195. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_com.v"]"\
  196. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/tests.vh"]"\
  197. "[file normalize "$origin_dir/PciVnaEmul_Repo/constr/xilinx_pcie_7x_ep_x1g1.xdc"]"\
  198. "[file normalize "$origin_dir/PciVnaEmul_Repo/src/PciE/board.v"]"\
  199. ]
  200. foreach ifile $files {
  201. if { ![file isfile $ifile] } {
  202. puts " Could not find remote file $ifile "
  203. set status false
  204. }
  205. }
  206. return $status
  207. }
  208. # Set the reference directory for source file relative paths (by default the value is script directory path)
  209. set origin_dir "C:/"
  210. # Use origin directory path location variable, if specified in the tcl shell
  211. if { [info exists ::origin_dir_loc] } {
  212. set origin_dir $::origin_dir_loc
  213. }
  214. # Set the project name
  215. set _xil_proj_name_ "pcie1234_ex"
  216. # Use project name variable, if specified in the tcl shell
  217. if { [info exists ::user_project_name] } {
  218. set _xil_proj_name_ $::user_project_name
  219. }
  220. variable script_file
  221. set script_file "recreate.tcl"
  222. # Help information for this script
  223. proc print_help {} {
  224. variable script_file
  225. puts "\nDescription:"
  226. puts "Recreate a Vivado project from this script. The created project will be"
  227. puts "functionally equivalent to the original project for which this script was"
  228. puts "generated. The script contains commands for creating a project, filesets,"
  229. puts "runs, adding/importing sources and setting properties on various objects.\n"
  230. puts "Syntax:"
  231. puts "$script_file"
  232. puts "$script_file -tclargs \[--origin_dir <path>\]"
  233. puts "$script_file -tclargs \[--project_name <name>\]"
  234. puts "$script_file -tclargs \[--help\]\n"
  235. puts "Usage:"
  236. puts "Name Description"
  237. puts "-------------------------------------------------------------------------"
  238. puts "\[--origin_dir <path>\] Determine source file paths wrt this path. Default"
  239. puts " origin_dir path value is \".\", otherwise, the value"
  240. puts " that was set with the \"-paths_relative_to\" switch"
  241. puts " when this script was generated.\n"
  242. puts "\[--project_name <name>\] Create project with the specified name. Default"
  243. puts " name is the name of the project from where this"
  244. puts " script was generated.\n"
  245. puts "\[--help\] Print help information for this script"
  246. puts "-------------------------------------------------------------------------\n"
  247. exit 0
  248. }
  249. if { $::argc > 0 } {
  250. for {set i 0} {$i < $::argc} {incr i} {
  251. set option [string trim [lindex $::argv $i]]
  252. switch -regexp -- $option {
  253. "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] }
  254. "--project_name" { incr i; set _xil_proj_name_ [lindex $::argv $i] }
  255. "--help" { print_help }
  256. default {
  257. if { [regexp {^-} $option] } {
  258. puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
  259. return 1
  260. }
  261. }
  262. }
  263. }
  264. }
  265. # Set the directory path for the original project from where this script was exported
  266. set orig_proj_dir "D:/testPci/pcie1234_ex"
  267. # Check for paths and files needed for project creation
  268. set validate_required 0
  269. if { $validate_required } {
  270. if { [checkRequiredFiles $origin_dir] } {
  271. puts "Tcl file $script_file is valid. All files required for project creation is accesable. "
  272. } else {
  273. puts "Tcl file $script_file is not valid. Not all files required for project creation is accesable. "
  274. return
  275. }
  276. }
  277. # Create project
  278. create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xc7a100tfgg484-2
  279. # Set the directory path for the new project
  280. set proj_dir [get_property directory [current_project]]
  281. # Reconstruct message rules
  282. # None
  283. # Set project properties
  284. set obj [current_project]
  285. set_property -name "default_lib" -value "xil_defaultlib" -objects $obj
  286. set_property -name "enable_resource_estimation" -value "0" -objects $obj
  287. set_property -name "enable_vhdl_2008" -value "1" -objects $obj
  288. set_property -name "ip_cache_permissions" -value "read write" -objects $obj
  289. set_property -name "ip_output_repo" -value "D:/testPci/${_xil_proj_name_}/${_xil_proj_name_}.cache/ip" -objects $obj
  290. set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj
  291. set_property -name "part" -value "xc7a100tfgg484-2" -objects $obj
  292. set_property -name "revised_directory_structure" -value "1" -objects $obj
  293. set_property -name "sim.central_dir" -value "D:/testPci/${_xil_proj_name_}/${_xil_proj_name_}.ip_user_files" -objects $obj
  294. set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
  295. set_property -name "simulator_language" -value "Mixed" -objects $obj
  296. set_property -name "sim_compile_state" -value "1" -objects $obj
  297. set_property -name "webtalk.activehdl_export_sim" -value "8" -objects $obj
  298. set_property -name "webtalk.ies_export_sim" -value "7" -objects $obj
  299. set_property -name "webtalk.modelsim_export_sim" -value "8" -objects $obj
  300. set_property -name "webtalk.questa_export_sim" -value "8" -objects $obj
  301. set_property -name "webtalk.riviera_export_sim" -value "8" -objects $obj
  302. set_property -name "webtalk.vcs_export_sim" -value "8" -objects $obj
  303. set_property -name "webtalk.xsim_export_sim" -value "8" -objects $obj
  304. set_property -name "webtalk.xsim_launch_sim" -value "57" -objects $obj
  305. set_property -name "xpm_libraries" -value "XPM_CDC XPM_MEMORY" -objects $obj
  306. # Create 'sources_1' fileset (if not found)
  307. if {[string equal [get_filesets -quiet sources_1] ""]} {
  308. create_fileset -srcset sources_1
  309. }
  310. # Set 'sources_1' fileset object
  311. set obj [get_filesets sources_1]
  312. set files [list \
  313. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PulseMeas/ActivePortSelector.v"] \
  314. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/InternalDsp/AdcCalibration.v"] \
  315. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/InternalDsp/ComplPrng.v"] \
  316. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/InternalDsp/CordicNco.v"] \
  317. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/InternalDsp/CordicRotation.v"] \
  318. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/DitherGen/DitherGenv2.v"] \
  319. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/InternalDsp/DspPipeline.v"] \
  320. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/EP_MEM.v"] \
  321. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/Math/FpCustomMultiplier.v"] \
  322. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/GainOverloadControl/GainControl.v"] \
  323. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/GainOverloadControl/GainControlWrapper.v"] \
  324. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/InitRst/InitRst.v"] \
  325. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/Top/IntermediateLogic.v"] \
  326. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/InternalDsp/InternalDsp.v"] \
  327. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/InternalDsp/MeasCtrlModule.v"] \
  328. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PulseMeas/MeasStartEventGen.v"] \
  329. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/Math/MultModule.v"] \
  330. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PulseMeas/Mux.v"] \
  331. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/Math/MyIntToFp.v"] \
  332. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/InternalDsp/NcoRstGen.v"] \
  333. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/GainOverloadControl/OverloadDetect.v"] \
  334. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PulseMeas/PGenRstGenerator.v"] \
  335. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/PIO.v"] \
  336. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/PIO_EP.v"] \
  337. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/PIO_EP_MEM_ACCESS.v"] \
  338. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/PIO_RX_ENGINE.v"] \
  339. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/PIO_TO_CTRL.v"] \
  340. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/PIO_TX_ENGINE.v"] \
  341. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PulseMeas/PulseGen.v"] \
  342. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/RegMap/RegMap.v"] \
  343. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/Top/S5443Top.v"] \
  344. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PulseMeas/SampleStrobeGenRstDemux.v"] \
  345. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/Math/SimpleMult.v"] \
  346. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PulseMeas/StartAfterGainSel.v"] \
  347. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/Math/SumAcc.v"] \
  348. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PulseMeas/TrigInt2Mux.v"] \
  349. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/InternalDsp/WinParameters.v"] \
  350. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/InternalDsp/Win_calc.v"] \
  351. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/pcie1234_pipe_clock.v"] \
  352. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/pcie1234_support.v"] \
  353. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/pcie_app_7x.v"] \
  354. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/xilinx_pcie_2_1_ep_7x.v"] \
  355. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/Top/PciVnaEmulTop.v"] \
  356. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_pl.v"] \
  357. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/ClkGen/Clk200Gen.v"] \
  358. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/ExtDspInterface/DspPpiOut.v"] \
  359. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/pci_exp_expect_tasks.vh"] \
  360. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/sys_clk_gen.v"] \
  361. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/AdcDataRx/delay_controller_wrap.v"] \
  362. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/xilinx_pcie_2_1_rport_7x.v"] \
  363. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v"] \
  364. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v"] \
  365. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/pcie1234_gt_top_pipe_mode.v"] \
  366. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_cfg.v"] \
  367. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/AdcDataRx/AdcDataInterface.v"] \
  368. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/MeasDataFifo/FifoController.v"] \
  369. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_tx.v"] \
  370. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/AdcDataRx/AdcSync.v"] \
  371. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/ExtDspInterface/DspInterface.v"] \
  372. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/AdcDataRx/serdes_1_to_7_slave_idelay_sdr.v"] \
  373. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/pipe_interconnect.vh"] \
  374. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/ExtDspInterface/SlaveSpi.v"] \
  375. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/xil_sig2pipe.v"] \
  376. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/MeasDataFifo/MeasDataFifoWrapper.v"] \
  377. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PulseMeas/PulseGenNew.v"] \
  378. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/sample_tests1.vh"] \
  379. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/board_common.vh"] \
  380. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/pcie_axi_trn_bridge.v"] \
  381. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/AdcDataRx/top5x2_7to1_sdr_rx.v"] \
  382. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/pcie_2_1_rport_7x.v"] \
  383. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/sys_clk_gen_ds.v"] \
  384. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_rx.v"] \
  385. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/pci_exp_usrapp_com.v"] \
  386. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/tests.vh"] \
  387. ]
  388. add_files -norecurse -fileset $obj $files
  389. # Import local files from the original project
  390. set files [list \
  391. "D:/testPci/pcie1234_ex/pcie1234_ex.srcs/sources_1/ip/pcie1234/pcie1234.xci"\
  392. "D:/testPci/pcie1234_ex/pcie1234_ex.srcs/sources_1/ip/ClkPllSysTo125/ClkPllSysTo125.xci"\
  393. ]
  394. set imported_files ""
  395. foreach f $files {
  396. lappend imported_files [import_files -fileset sources_1 $f]
  397. }
  398. # Set 'sources_1' fileset file properties for remote files
  399. set file "$origin_dir/PciVnaEmul_Repo/src/PciE/pci_exp_expect_tasks.vh"
  400. set file [file normalize $file]
  401. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  402. set_property -name "file_type" -value "Verilog Header" -objects $file_obj
  403. set file "$origin_dir/PciVnaEmul_Repo/src/PciE/pipe_interconnect.vh"
  404. set file [file normalize $file]
  405. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  406. set_property -name "file_type" -value "Verilog Header" -objects $file_obj
  407. set file "$origin_dir/PciVnaEmul_Repo/src/PciE/sample_tests1.vh"
  408. set file [file normalize $file]
  409. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  410. set_property -name "file_type" -value "Verilog Header" -objects $file_obj
  411. set file "$origin_dir/PciVnaEmul_Repo/src/PciE/board_common.vh"
  412. set file [file normalize $file]
  413. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  414. set_property -name "file_type" -value "Verilog Header" -objects $file_obj
  415. set file "$origin_dir/PciVnaEmul_Repo/src/PciE/tests.vh"
  416. set file [file normalize $file]
  417. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  418. set_property -name "file_type" -value "Verilog Header" -objects $file_obj
  419. # Set 'sources_1' fileset file properties for local files
  420. set file "pcie1234/pcie1234.xci"
  421. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  422. set_property -name "generate_files_for_reference" -value "0" -objects $file_obj
  423. set_property -name "registered_with_manager" -value "1" -objects $file_obj
  424. if { ![get_property "is_locked" $file_obj] } {
  425. set_property -name "synth_checkpoint_mode" -value "Singular" -objects $file_obj
  426. }
  427. set file "ClkPllSysTo125/ClkPllSysTo125.xci"
  428. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  429. set_property -name "generate_files_for_reference" -value "0" -objects $file_obj
  430. set_property -name "registered_with_manager" -value "1" -objects $file_obj
  431. if { ![get_property "is_locked" $file_obj] } {
  432. set_property -name "synth_checkpoint_mode" -value "Singular" -objects $file_obj
  433. }
  434. # Set 'sources_1' fileset properties
  435. set obj [get_filesets sources_1]
  436. set_property -name "dataflow_viewer_settings" -value "min_width=16" -objects $obj
  437. set_property -name "top" -value "PciVnaEmulTop" -objects $obj
  438. set_property -name "top_auto_set" -value "0" -objects $obj
  439. # Create 'constrs_1' fileset (if not found)
  440. if {[string equal [get_filesets -quiet constrs_1] ""]} {
  441. create_fileset -constrset constrs_1
  442. }
  443. # Set 'constrs_1' fileset object
  444. set obj [get_filesets constrs_1]
  445. # Add/Import constrs file and set constrs file properties
  446. set file "[file normalize "$origin_dir/PciVnaEmul_Repo/constr/xilinx_pcie_7x_ep_x1g1.xdc"]"
  447. set file_added [add_files -norecurse -fileset $obj [list $file]]
  448. set file "$origin_dir/PciVnaEmul_Repo/constr/xilinx_pcie_7x_ep_x1g1.xdc"
  449. set file [file normalize $file]
  450. set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
  451. set_property -name "file_type" -value "XDC" -objects $file_obj
  452. # Set 'constrs_1' fileset properties
  453. set obj [get_filesets constrs_1]
  454. set_property -name "target_part" -value "xc7a100tfgg484-2" -objects $obj
  455. # Create 'sim_1' fileset (if not found)
  456. if {[string equal [get_filesets -quiet sim_1] ""]} {
  457. create_fileset -simset sim_1
  458. }
  459. # Set 'sim_1' fileset object
  460. set obj [get_filesets sim_1]
  461. set files [list \
  462. [file normalize "${origin_dir}/PciVnaEmul_Repo/src/PciE/board.v"] \
  463. ]
  464. add_files -norecurse -fileset $obj $files
  465. # Set 'sim_1' fileset file properties for remote files
  466. # None
  467. # Set 'sim_1' fileset file properties for local files
  468. # None
  469. # Set 'sim_1' fileset properties
  470. set obj [get_filesets sim_1]
  471. set_property -name "top" -value "board" -objects $obj
  472. set_property -name "top_auto_set" -value "0" -objects $obj
  473. set_property -name "top_lib" -value "xil_defaultlib" -objects $obj
  474. # Set 'utils_1' fileset object
  475. set obj [get_filesets utils_1]
  476. # Empty (no sources present)
  477. # Set 'utils_1' fileset properties
  478. set obj [get_filesets utils_1]
  479. set idrFlowPropertiesConstraints ""
  480. catch {
  481. set idrFlowPropertiesConstraints [get_param runs.disableIDRFlowPropertyConstraints]
  482. set_param runs.disableIDRFlowPropertyConstraints 1
  483. }
  484. # Create 'synth_1' run (if not found)
  485. if {[string equal [get_runs -quiet synth_1] ""]} {
  486. create_run -name synth_1 -part xc7a100tfgg484-2 -flow {Vivado Synthesis 2020} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1
  487. } else {
  488. set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
  489. set_property flow "Vivado Synthesis 2020" [get_runs synth_1]
  490. }
  491. set obj [get_runs synth_1]
  492. set_property set_report_strategy_name 1 $obj
  493. set_property report_strategy {Vivado Synthesis Default Reports} $obj
  494. set_property set_report_strategy_name 0 $obj
  495. # Create 'synth_1_synth_report_utilization_0' report (if not found)
  496. if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } {
  497. create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1
  498. }
  499. set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0]
  500. if { $obj != "" } {
  501. }
  502. set obj [get_runs synth_1]
  503. set_property -name "part" -value "xc7a100tfgg484-2" -objects $obj
  504. set_property -name "auto_incremental_checkpoint" -value "1" -objects $obj
  505. set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj
  506. set_property -name "steps.synth_design.args.flatten_hierarchy" -value "none" -objects $obj
  507. set_property -name "steps.synth_design.args.gated_clock_conversion" -value "auto" -objects $obj
  508. set_property -name "steps.synth_design.args.incremental_mode" -value "aggressive" -objects $obj
  509. # set the current synth run
  510. current_run -synthesis [get_runs synth_1]
  511. # Create 'impl_1' run (if not found)
  512. if {[string equal [get_runs -quiet impl_1] ""]} {
  513. create_run -name impl_1 -part xc7a100tfgg484-2 -flow {Vivado Implementation 2020} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1
  514. } else {
  515. set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
  516. set_property flow "Vivado Implementation 2020" [get_runs impl_1]
  517. }
  518. set obj [get_runs impl_1]
  519. set_property set_report_strategy_name 1 $obj
  520. set_property report_strategy {Vivado Implementation Default Reports} $obj
  521. set_property set_report_strategy_name 0 $obj
  522. # Create 'impl_1_init_report_timing_summary_0' report (if not found)
  523. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } {
  524. create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1
  525. }
  526. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0]
  527. if { $obj != "" } {
  528. set_property -name "is_enabled" -value "0" -objects $obj
  529. set_property -name "options.max_paths" -value "10" -objects $obj
  530. }
  531. # Create 'impl_1_opt_report_drc_0' report (if not found)
  532. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } {
  533. create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1
  534. }
  535. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0]
  536. if { $obj != "" } {
  537. }
  538. # Create 'impl_1_opt_report_timing_summary_0' report (if not found)
  539. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } {
  540. create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1
  541. }
  542. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0]
  543. if { $obj != "" } {
  544. set_property -name "is_enabled" -value "0" -objects $obj
  545. set_property -name "options.max_paths" -value "10" -objects $obj
  546. }
  547. # Create 'impl_1_power_opt_report_timing_summary_0' report (if not found)
  548. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } {
  549. create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1
  550. }
  551. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0]
  552. if { $obj != "" } {
  553. set_property -name "is_enabled" -value "0" -objects $obj
  554. set_property -name "options.max_paths" -value "10" -objects $obj
  555. }
  556. # Create 'impl_1_place_report_io_0' report (if not found)
  557. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } {
  558. create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1
  559. }
  560. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0]
  561. if { $obj != "" } {
  562. }
  563. # Create 'impl_1_place_report_utilization_0' report (if not found)
  564. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } {
  565. create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1
  566. }
  567. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0]
  568. if { $obj != "" } {
  569. }
  570. # Create 'impl_1_place_report_control_sets_0' report (if not found)
  571. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } {
  572. create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1
  573. }
  574. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0]
  575. if { $obj != "" } {
  576. set_property -name "options.verbose" -value "1" -objects $obj
  577. }
  578. # Create 'impl_1_place_report_incremental_reuse_0' report (if not found)
  579. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } {
  580. create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
  581. }
  582. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0]
  583. if { $obj != "" } {
  584. set_property -name "is_enabled" -value "0" -objects $obj
  585. }
  586. # Create 'impl_1_place_report_incremental_reuse_1' report (if not found)
  587. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } {
  588. create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
  589. }
  590. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1]
  591. if { $obj != "" } {
  592. set_property -name "is_enabled" -value "0" -objects $obj
  593. }
  594. # Create 'impl_1_place_report_timing_summary_0' report (if not found)
  595. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } {
  596. create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1
  597. }
  598. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0]
  599. if { $obj != "" } {
  600. set_property -name "is_enabled" -value "0" -objects $obj
  601. set_property -name "options.max_paths" -value "10" -objects $obj
  602. }
  603. # Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found)
  604. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } {
  605. create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1
  606. }
  607. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0]
  608. if { $obj != "" } {
  609. set_property -name "is_enabled" -value "0" -objects $obj
  610. set_property -name "options.max_paths" -value "10" -objects $obj
  611. }
  612. # Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found)
  613. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } {
  614. create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1
  615. }
  616. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0]
  617. if { $obj != "" } {
  618. set_property -name "is_enabled" -value "0" -objects $obj
  619. set_property -name "options.max_paths" -value "10" -objects $obj
  620. }
  621. # Create 'impl_1_route_report_drc_0' report (if not found)
  622. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } {
  623. create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1
  624. }
  625. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0]
  626. if { $obj != "" } {
  627. }
  628. # Create 'impl_1_route_report_methodology_0' report (if not found)
  629. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } {
  630. create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1
  631. }
  632. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0]
  633. if { $obj != "" } {
  634. }
  635. # Create 'impl_1_route_report_power_0' report (if not found)
  636. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } {
  637. create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1
  638. }
  639. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0]
  640. if { $obj != "" } {
  641. }
  642. # Create 'impl_1_route_report_route_status_0' report (if not found)
  643. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } {
  644. create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1
  645. }
  646. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0]
  647. if { $obj != "" } {
  648. }
  649. # Create 'impl_1_route_report_timing_summary_0' report (if not found)
  650. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } {
  651. create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1
  652. }
  653. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0]
  654. if { $obj != "" } {
  655. set_property -name "options.max_paths" -value "10" -objects $obj
  656. }
  657. # Create 'impl_1_route_report_incremental_reuse_0' report (if not found)
  658. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } {
  659. create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1
  660. }
  661. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0]
  662. if { $obj != "" } {
  663. }
  664. # Create 'impl_1_route_report_clock_utilization_0' report (if not found)
  665. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } {
  666. create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1
  667. }
  668. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0]
  669. if { $obj != "" } {
  670. }
  671. # Create 'impl_1_route_report_bus_skew_0' report (if not found)
  672. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] "" ] } {
  673. create_report_config -report_name impl_1_route_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps route_design -runs impl_1
  674. }
  675. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0]
  676. if { $obj != "" } {
  677. set_property -name "options.warn_on_violation" -value "1" -objects $obj
  678. }
  679. # Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found)
  680. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } {
  681. create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1
  682. }
  683. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0]
  684. if { $obj != "" } {
  685. set_property -name "options.max_paths" -value "10" -objects $obj
  686. set_property -name "options.warn_on_violation" -value "1" -objects $obj
  687. }
  688. # Create 'impl_1_post_route_phys_opt_report_bus_skew_0' report (if not found)
  689. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] "" ] } {
  690. create_report_config -report_name impl_1_post_route_phys_opt_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps post_route_phys_opt_design -runs impl_1
  691. }
  692. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0]
  693. if { $obj != "" } {
  694. set_property -name "options.warn_on_violation" -value "1" -objects $obj
  695. }
  696. set obj [get_runs impl_1]
  697. set_property -name "part" -value "xc7a100tfgg484-2" -objects $obj
  698. set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj
  699. set_property -name "steps.write_bitstream.args.bin_file" -value "1" -objects $obj
  700. set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj
  701. set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj
  702. # set the current impl run
  703. current_run -implementation [get_runs impl_1]
  704. catch {
  705. if { $idrFlowPropertiesConstraints != {} } {
  706. set_param runs.disableIDRFlowPropertyConstraints $idrFlowPropertiesConstraints
  707. }
  708. }
  709. puts "INFO: Project created:${_xil_proj_name_}"
  710. # Create 'drc_1' gadget (if not found)
  711. if {[string equal [get_dashboard_gadgets [ list "drc_1" ] ] ""]} {
  712. create_dashboard_gadget -name {drc_1} -type drc
  713. }
  714. set obj [get_dashboard_gadgets [ list "drc_1" ] ]
  715. set_property -name "reports" -value "impl_1#impl_1_route_report_drc_0" -objects $obj
  716. # Create 'methodology_1' gadget (if not found)
  717. if {[string equal [get_dashboard_gadgets [ list "methodology_1" ] ] ""]} {
  718. create_dashboard_gadget -name {methodology_1} -type methodology
  719. }
  720. set obj [get_dashboard_gadgets [ list "methodology_1" ] ]
  721. set_property -name "reports" -value "impl_1#impl_1_route_report_methodology_0" -objects $obj
  722. # Create 'power_1' gadget (if not found)
  723. if {[string equal [get_dashboard_gadgets [ list "power_1" ] ] ""]} {
  724. create_dashboard_gadget -name {power_1} -type power
  725. }
  726. set obj [get_dashboard_gadgets [ list "power_1" ] ]
  727. set_property -name "reports" -value "impl_1#impl_1_route_report_power_0" -objects $obj
  728. # Create 'timing_1' gadget (if not found)
  729. if {[string equal [get_dashboard_gadgets [ list "timing_1" ] ] ""]} {
  730. create_dashboard_gadget -name {timing_1} -type timing
  731. }
  732. set obj [get_dashboard_gadgets [ list "timing_1" ] ]
  733. set_property -name "reports" -value "impl_1#impl_1_route_report_timing_summary_0" -objects $obj
  734. # Create 'utilization_1' gadget (if not found)
  735. if {[string equal [get_dashboard_gadgets [ list "utilization_1" ] ] ""]} {
  736. create_dashboard_gadget -name {utilization_1} -type utilization
  737. }
  738. set obj [get_dashboard_gadgets [ list "utilization_1" ] ]
  739. set_property -name "reports" -value "synth_1#synth_1_synth_report_utilization_0" -objects $obj
  740. set_property -name "run.step" -value "synth_design" -objects $obj
  741. set_property -name "run.type" -value "synthesis" -objects $obj
  742. # Create 'utilization_2' gadget (if not found)
  743. if {[string equal [get_dashboard_gadgets [ list "utilization_2" ] ] ""]} {
  744. create_dashboard_gadget -name {utilization_2} -type utilization
  745. }
  746. set obj [get_dashboard_gadgets [ list "utilization_2" ] ]
  747. set_property -name "reports" -value "impl_1#impl_1_place_report_utilization_0" -objects $obj
  748. move_dashboard_gadget -name {utilization_1} -row 0 -col 0
  749. move_dashboard_gadget -name {power_1} -row 1 -col 0
  750. move_dashboard_gadget -name {drc_1} -row 2 -col 0
  751. move_dashboard_gadget -name {timing_1} -row 0 -col 1
  752. move_dashboard_gadget -name {utilization_2} -row 1 -col 1
  753. move_dashboard_gadget -name {methodology_1} -row 2 -col 1