recreate.tcl 37 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763
  1. #*****************************************************************************************
  2. # Vivado (TM) v2020.2 (64-bit)
  3. #
  4. # recreate.tcl: Tcl script for re-creating project 'S5244'
  5. #
  6. # Generated by Vivado on Tue Jan 09 11:29:43 +0300 2024
  7. # IP Build 3064653 on Wed Nov 18 14:17:31 MST 2020
  8. #
  9. # This file contains the Vivado Tcl commands for re-creating the project to the state*
  10. # when this script was generated. In order to re-create the project, please source this
  11. # file in the Vivado Tcl Shell.
  12. #
  13. # * Note that the runs in the created project will be configured the same way as the
  14. # original project, however they will not be launched automatically. To regenerate the
  15. # run results please launch the synthesis/implementation runs as needed.
  16. #
  17. #*****************************************************************************************
  18. # NOTE: In order to use this script for source control purposes, please make sure that the
  19. # following files are added to the source control system:-
  20. #
  21. # 1. This project restoration tcl script (recreate.tcl) that was generated.
  22. #
  23. # 2. The following source(s) files that were local or imported into the original project.
  24. # (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script)
  25. #
  26. # 3. The following remote source files that were added to the original project:-
  27. #
  28. # "C:/S5244_REPO/src/src/PulseMeas/ActivePortSelector.v"
  29. # "C:/S5244_REPO/src/src/InternalDsp/AdcCalibration.v"
  30. # "C:/S5244_REPO/src/src/AdcDataRx/AdcDataInterface.v"
  31. # "C:/S5244_REPO/src/src/AdcDataRx/AdcSync.v"
  32. # "C:/S5244_REPO/src/src/ClkGen/Clk200Gen.v"
  33. # "C:/S5244_REPO/src/src/InternalDsp/ComplPrng.v"
  34. # "C:/S5244_REPO/src/src/InternalDsp/CordicNco.v"
  35. # "C:/S5244_REPO/src/src/InternalDsp/CordicRotation.v"
  36. # "C:/S5244_REPO/src/src/DitherGen/DitherGenv2.v"
  37. # "C:/S5244_REPO/src/src/ExtDspInterface/DspInterface.v"
  38. # "C:/S5244_REPO/src/src/InternalDsp/DspPipeline.v"
  39. # "C:/S5244_REPO/src/src/ExtDspInterface/DspPpiOut.v"
  40. # "C:/S5244_REPO/src/src/MeasDataFifo/FifoController.v"
  41. # "C:/S5244_REPO/src/src/Math/FpCustomMultiplier.v"
  42. # "C:/S5244_REPO/src/src/GainOverloadControl/GainControl.v"
  43. # "C:/S5244_REPO/src/src/GainOverloadControl/GainControlWrapper.v"
  44. # "C:/S5244_REPO/src/src/InitRst/InitRst.v"
  45. # "C:/S5244_REPO/src/src/InternalDsp/InternalDsp.v"
  46. # "C:/S5244_REPO/src/src/InternalDsp/MeasCtrlModule.v"
  47. # "C:/S5244_REPO/src/src/MeasDataFifo/MeasDataFifoWrapper.v"
  48. # "C:/S5244_REPO/src/src/PulseMeas/MeasStartEventGen.v"
  49. # "C:/S5244_REPO/src/src/Math/MultModule.v"
  50. # "C:/S5244_REPO/src/src/PulseMeas/Mux.v"
  51. # "C:/S5244_REPO/src/src/Math/MyIntToFp.v"
  52. # "C:/S5244_REPO/src/src/InternalDsp/NcoRstGen.v"
  53. # "C:/S5244_REPO/src/src/MeasDataFifo/OscDataFormer.v"
  54. # "C:/S5244_REPO/src/src/GainOverloadControl/OverloadDetect.v"
  55. # "C:/S5244_REPO/src/src/PulseMeas/PGenRstGenerator.v"
  56. # "C:/S5244_REPO/src/src/PulseMeas/PulseGenV2.v"
  57. # "C:/S5244_REPO/src/src/RegMap/RegMap.v"
  58. # "C:/S5244_REPO/src/src/PulseMeas/SampleStrobeGenRstDemux.v"
  59. # "C:/S5244_REPO/src/src/Math/SimpleMult.v"
  60. # "C:/S5244_REPO/src/src/ExtDspInterface/SlaveSpi.v"
  61. # "C:/S5244_REPO/src/src/PulseMeas/StartAfterGainSel.v"
  62. # "C:/S5244_REPO/src/src/Math/SumAcc.v"
  63. # "C:/S5244_REPO/src/src/PulseMeas/TrigInt2Mux.v"
  64. # "C:/S5244_REPO/src/src/InternalDsp/WinParameters.v"
  65. # "C:/S5244_REPO/src/src/InternalDsp/Win_calc.v"
  66. # "C:/S5244_REPO/src/src/AdcDataRx/delay_controller_wrap.v"
  67. # "C:/S5244_REPO/src/src/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v"
  68. # "C:/S5244_REPO/src/src/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v"
  69. # "C:/S5244_REPO/src/src/AdcDataRx/serdes_1_to_7_slave_idelay_sdr.v"
  70. # "C:/S5244_REPO/src/src/AdcDataRx/top5x2_7to1_sdr_rx.v"
  71. # "C:/S5244_REPO/src/src/Top/S5243Top.v"
  72. # "C:/S5244_REPO/src/constrs/S5243Top.xdc"
  73. # "C:/S5244_REPO/src/src/Sim/S5243TopPulseProfileTb.v"
  74. #
  75. #*****************************************************************************************
  76. # Check file required for this script exists
  77. proc checkRequiredFiles { origin_dir} {
  78. set status true
  79. foreach ifile $files {
  80. if { ![file isfile $ifile] } {
  81. puts " Could not find local file $ifile "
  82. set status false
  83. }
  84. }
  85. set files [list \
  86. "C:/S5244_REPO/src/src/PulseMeas/ActivePortSelector.v" \
  87. "C:/S5244_REPO/src/src/InternalDsp/AdcCalibration.v" \
  88. "C:/S5244_REPO/src/src/AdcDataRx/AdcDataInterface.v" \
  89. "C:/S5244_REPO/src/src/AdcDataRx/AdcSync.v" \
  90. "C:/S5244_REPO/src/src/ClkGen/Clk200Gen.v" \
  91. "C:/S5244_REPO/src/src/InternalDsp/ComplPrng.v" \
  92. "C:/S5244_REPO/src/src/InternalDsp/CordicNco.v" \
  93. "C:/S5244_REPO/src/src/InternalDsp/CordicRotation.v" \
  94. "C:/S5244_REPO/src/src/DitherGen/DitherGenv2.v" \
  95. "C:/S5244_REPO/src/src/ExtDspInterface/DspInterface.v" \
  96. "C:/S5244_REPO/src/src/InternalDsp/DspPipeline.v" \
  97. "C:/S5244_REPO/src/src/ExtDspInterface/DspPpiOut.v" \
  98. "C:/S5244_REPO/src/src/MeasDataFifo/FifoController.v" \
  99. "C:/S5244_REPO/src/src/Math/FpCustomMultiplier.v" \
  100. "C:/S5244_REPO/src/src/GainOverloadControl/GainControl.v" \
  101. "C:/S5244_REPO/src/src/GainOverloadControl/GainControlWrapper.v" \
  102. "C:/S5244_REPO/src/src/InitRst/InitRst.v" \
  103. "C:/S5244_REPO/src/src/InternalDsp/InternalDsp.v" \
  104. "C:/S5244_REPO/src/src/InternalDsp/MeasCtrlModule.v" \
  105. "C:/S5244_REPO/src/src/MeasDataFifo/MeasDataFifoWrapper.v" \
  106. "C:/S5244_REPO/src/src/PulseMeas/MeasStartEventGen.v" \
  107. "C:/S5244_REPO/src/src/Math/MultModule.v" \
  108. "C:/S5244_REPO/src/src/PulseMeas/Mux.v" \
  109. "C:/S5244_REPO/src/src/Math/MyIntToFp.v" \
  110. "C:/S5244_REPO/src/src/InternalDsp/NcoRstGen.v" \
  111. "C:/S5244_REPO/src/src/MeasDataFifo/OscDataFormer.v" \
  112. "C:/S5244_REPO/src/src/GainOverloadControl/OverloadDetect.v" \
  113. "C:/S5244_REPO/src/src/PulseMeas/PGenRstGenerator.v" \
  114. "C:/S5244_REPO/src/src/PulseMeas/PulseGenV2.v" \
  115. "C:/S5244_REPO/src/src/RegMap/RegMap.v" \
  116. "C:/S5244_REPO/src/src/PulseMeas/SampleStrobeGenRstDemux.v" \
  117. "C:/S5244_REPO/src/src/Math/SimpleMult.v" \
  118. "C:/S5244_REPO/src/src/ExtDspInterface/SlaveSpi.v" \
  119. "C:/S5244_REPO/src/src/PulseMeas/StartAfterGainSel.v" \
  120. "C:/S5244_REPO/src/src/Math/SumAcc.v" \
  121. "C:/S5244_REPO/src/src/PulseMeas/TrigInt2Mux.v" \
  122. "C:/S5244_REPO/src/src/InternalDsp/WinParameters.v" \
  123. "C:/S5244_REPO/src/src/InternalDsp/Win_calc.v" \
  124. "C:/S5244_REPO/src/src/AdcDataRx/delay_controller_wrap.v" \
  125. "C:/S5244_REPO/src/src/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v" \
  126. "C:/S5244_REPO/src/src/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v" \
  127. "C:/S5244_REPO/src/src/AdcDataRx/serdes_1_to_7_slave_idelay_sdr.v" \
  128. "C:/S5244_REPO/src/src/AdcDataRx/top5x2_7to1_sdr_rx.v" \
  129. "C:/S5244_REPO/src/src/Top/S5243Top.v" \
  130. "C:/S5244_REPO/src/constrs/S5243Top.xdc" \
  131. "C:/S5244_REPO/src/src/Sim/S5243TopPulseProfileTb.v" \
  132. ]
  133. foreach ifile $files {
  134. if { ![file isfile $ifile] } {
  135. puts " Could not find remote file $ifile "
  136. set status false
  137. }
  138. }
  139. return $status
  140. }
  141. # Set the reference directory for source file relative paths (by default the value is script directory path)
  142. set origin_dir "C:/"
  143. # Use origin directory path location variable, if specified in the tcl shell
  144. if { [info exists ::origin_dir_loc] } {
  145. set origin_dir $::origin_dir_loc
  146. }
  147. # Set the project name
  148. set _xil_proj_name_ "S5244"
  149. # Use project name variable, if specified in the tcl shell
  150. if { [info exists ::user_project_name] } {
  151. set _xil_proj_name_ $::user_project_name
  152. }
  153. variable script_file
  154. set script_file "recreate.tcl"
  155. # Help information for this script
  156. proc print_help {} {
  157. variable script_file
  158. puts "\nDescription:"
  159. puts "Recreate a Vivado project from this script. The created project will be"
  160. puts "functionally equivalent to the original project for which this script was"
  161. puts "generated. The script contains commands for creating a project, filesets,"
  162. puts "runs, adding/importing sources and setting properties on various objects.\n"
  163. puts "Syntax:"
  164. puts "$script_file"
  165. puts "$script_file -tclargs \[--origin_dir <path>\]"
  166. puts "$script_file -tclargs \[--project_name <name>\]"
  167. puts "$script_file -tclargs \[--help\]\n"
  168. puts "Usage:"
  169. puts "Name Description"
  170. puts "-------------------------------------------------------------------------"
  171. puts "\[--origin_dir <path>\] Determine source file paths wrt this path. Default"
  172. puts " origin_dir path value is \".\", otherwise, the value"
  173. puts " that was set with the \"-paths_relative_to\" switch"
  174. puts " when this script was generated.\n"
  175. puts "\[--project_name <name>\] Create project with the specified name. Default"
  176. puts " name is the name of the project from where this"
  177. puts " script was generated.\n"
  178. puts "\[--help\] Print help information for this script"
  179. puts "-------------------------------------------------------------------------\n"
  180. exit 0
  181. }
  182. if { $::argc > 0 } {
  183. for {set i 0} {$i < $::argc} {incr i} {
  184. set option [string trim [lindex $::argv $i]]
  185. switch -regexp -- $option {
  186. "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] }
  187. "--project_name" { incr i; set _xil_proj_name_ [lindex $::argv $i] }
  188. "--help" { print_help }
  189. default {
  190. if { [regexp {^-} $option] } {
  191. puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
  192. return 1
  193. }
  194. }
  195. }
  196. }
  197. }
  198. # Set the directory path for the original project from where this script was exported
  199. set orig_proj_dir "[file normalize "$origin_dir/S5244_PROJ/S5244"]"
  200. # Check for paths and files needed for project creation
  201. set validate_required 0
  202. if { $validate_required } {
  203. if { [checkRequiredFiles $origin_dir] } {
  204. puts "Tcl file $script_file is valid. All files required for project creation is accesable. "
  205. } else {
  206. puts "Tcl file $script_file is not valid. Not all files required for project creation is accesable. "
  207. return
  208. }
  209. }
  210. # Create project
  211. create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xc7s25csga324-2
  212. # Set the directory path for the new project
  213. set proj_dir [get_property directory [current_project]]
  214. # Set project properties
  215. set obj [current_project]
  216. set_property -name "default_lib" -value "xil_defaultlib" -objects $obj
  217. set_property -name "enable_vhdl_2008" -value "1" -objects $obj
  218. set_property -name "ip_cache_permissions" -value "read write" -objects $obj
  219. set_property -name "ip_output_repo" -value "$proj_dir/${_xil_proj_name_}.cache/ip" -objects $obj
  220. set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj
  221. set_property -name "part" -value "xc7s25csga324-2" -objects $obj
  222. set_property -name "sim.central_dir" -value "$proj_dir/${_xil_proj_name_}.ip_user_files" -objects $obj
  223. set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
  224. set_property -name "simulator_language" -value "Mixed" -objects $obj
  225. set_property -name "xpm_libraries" -value "XPM_CDC XPM_MEMORY" -objects $obj
  226. # Create 'sources_1' fileset (if not found)
  227. if {[string equal [get_filesets -quiet sources_1] ""]} {
  228. create_fileset -srcset sources_1
  229. }
  230. # Set 'sources_1' fileset object
  231. set obj [get_filesets sources_1]
  232. set files [list \
  233. [file normalize "${origin_dir}/S5244_REPO/src/src/PulseMeas/ActivePortSelector.v"] \
  234. [file normalize "${origin_dir}/S5244_REPO/src/src/InternalDsp/AdcCalibration.v"] \
  235. [file normalize "${origin_dir}/S5244_REPO/src/src/AdcDataRx/AdcDataInterface.v"] \
  236. [file normalize "${origin_dir}/S5244_REPO/src/src/AdcDataRx/AdcSync.v"] \
  237. [file normalize "${origin_dir}/S5244_REPO/src/src/ClkGen/Clk200Gen.v"] \
  238. [file normalize "${origin_dir}/S5244_REPO/src/src/InternalDsp/ComplPrng.v"] \
  239. [file normalize "${origin_dir}/S5244_REPO/src/src/InternalDsp/CordicNco.v"] \
  240. [file normalize "${origin_dir}/S5244_REPO/src/src/InternalDsp/CordicRotation.v"] \
  241. [file normalize "${origin_dir}/S5244_REPO/src/src/DitherGen/DitherGenv2.v"] \
  242. [file normalize "${origin_dir}/S5244_REPO/src/src/ExtDspInterface/DspInterface.v"] \
  243. [file normalize "${origin_dir}/S5244_REPO/src/src/InternalDsp/DspPipeline.v"] \
  244. [file normalize "${origin_dir}/S5244_REPO/src/src/ExtDspInterface/DspPpiOut.v"] \
  245. [file normalize "${origin_dir}/S5244_REPO/src/src/MeasDataFifo/FifoController.v"] \
  246. [file normalize "${origin_dir}/S5244_REPO/src/src/Math/FpCustomMultiplier.v"] \
  247. [file normalize "${origin_dir}/S5244_REPO/src/src/GainOverloadControl/GainControl.v"] \
  248. [file normalize "${origin_dir}/S5244_REPO/src/src/GainOverloadControl/GainControlWrapper.v"] \
  249. [file normalize "${origin_dir}/S5244_REPO/src/src/InitRst/InitRst.v"] \
  250. [file normalize "${origin_dir}/S5244_REPO/src/src/InternalDsp/InternalDsp.v"] \
  251. [file normalize "${origin_dir}/S5244_REPO/src/src/InternalDsp/MeasCtrlModule.v"] \
  252. [file normalize "${origin_dir}/S5244_REPO/src/src/MeasDataFifo/MeasDataFifoWrapper.v"] \
  253. [file normalize "${origin_dir}/S5244_REPO/src/src/PulseMeas/MeasStartEventGen.v"] \
  254. [file normalize "${origin_dir}/S5244_REPO/src/src/Math/MultModule.v"] \
  255. [file normalize "${origin_dir}/S5244_REPO/src/src/PulseMeas/Mux.v"] \
  256. [file normalize "${origin_dir}/S5244_REPO/src/src/Math/MyIntToFp.v"] \
  257. [file normalize "${origin_dir}/S5244_REPO/src/src/InternalDsp/NcoRstGen.v"] \
  258. [file normalize "${origin_dir}/S5244_REPO/src/src/MeasDataFifo/OscDataFormer.v"] \
  259. [file normalize "${origin_dir}/S5244_REPO/src/src/GainOverloadControl/OverloadDetect.v"] \
  260. [file normalize "${origin_dir}/S5244_REPO/src/src/PulseMeas/PGenRstGenerator.v"] \
  261. [file normalize "${origin_dir}/S5244_REPO/src/src/PulseMeas/PulseGenV2.v"] \
  262. [file normalize "${origin_dir}/S5244_REPO/src/src/RegMap/RegMap.v"] \
  263. [file normalize "${origin_dir}/S5244_REPO/src/src/PulseMeas/SampleStrobeGenRstDemux.v"] \
  264. [file normalize "${origin_dir}/S5244_REPO/src/src/Math/SimpleMult.v"] \
  265. [file normalize "${origin_dir}/S5244_REPO/src/src/ExtDspInterface/SlaveSpi.v"] \
  266. [file normalize "${origin_dir}/S5244_REPO/src/src/PulseMeas/StartAfterGainSel.v"] \
  267. [file normalize "${origin_dir}/S5244_REPO/src/src/Math/SumAcc.v"] \
  268. [file normalize "${origin_dir}/S5244_REPO/src/src/PulseMeas/TrigInt2Mux.v"] \
  269. [file normalize "${origin_dir}/S5244_REPO/src/src/InternalDsp/WinParameters.v"] \
  270. [file normalize "${origin_dir}/S5244_REPO/src/src/InternalDsp/Win_calc.v"] \
  271. [file normalize "${origin_dir}/S5244_REPO/src/src/AdcDataRx/delay_controller_wrap.v"] \
  272. [file normalize "${origin_dir}/S5244_REPO/src/src/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v"] \
  273. [file normalize "${origin_dir}/S5244_REPO/src/src/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v"] \
  274. [file normalize "${origin_dir}/S5244_REPO/src/src/AdcDataRx/serdes_1_to_7_slave_idelay_sdr.v"] \
  275. [file normalize "${origin_dir}/S5244_REPO/src/src/AdcDataRx/top5x2_7to1_sdr_rx.v"] \
  276. [file normalize "${origin_dir}/S5244_REPO/src/src/Top/S5243Top.v"] \
  277. ]
  278. add_files -norecurse -fileset $obj $files
  279. # Set 'sources_1' fileset file properties for remote files
  280. # None
  281. # Set 'sources_1' fileset file properties for local files
  282. # None
  283. # Set 'sources_1' fileset properties
  284. set obj [get_filesets sources_1]
  285. set_property -name "top" -value "S5243Top" -objects $obj
  286. set_property -name "top_auto_set" -value "0" -objects $obj
  287. # Create 'constrs_1' fileset (if not found)
  288. if {[string equal [get_filesets -quiet constrs_1] ""]} {
  289. create_fileset -constrset constrs_1
  290. }
  291. # Set 'constrs_1' fileset object
  292. set obj [get_filesets constrs_1]
  293. # Add/Import constrs file and set constrs file properties
  294. set file "[file normalize "$origin_dir/S5244_REPO/src/constrs/S5243Top.xdc"]"
  295. set file_added [add_files -norecurse -fileset $obj [list $file]]
  296. set file "$origin_dir/S5244_REPO/src/constrs/S5243Top.xdc"
  297. set file [file normalize $file]
  298. set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
  299. set_property -name "file_type" -value "XDC" -objects $file_obj
  300. # Set 'constrs_1' fileset properties
  301. set obj [get_filesets constrs_1]
  302. set_property -name "target_constrs_file" -value "[file normalize "$origin_dir/S5244_REPO/src/constrs/S5243Top.xdc"]" -objects $obj
  303. set_property -name "target_part" -value "xc7s25csga324-2" -objects $obj
  304. set_property -name "target_ucf" -value "[file normalize "$origin_dir/S5244_REPO/src/constrs/S5243Top.xdc"]" -objects $obj
  305. # Create 'sim_1' fileset (if not found)
  306. if {[string equal [get_filesets -quiet sim_1] ""]} {
  307. create_fileset -simset sim_1
  308. }
  309. # Set 'sim_1' fileset object
  310. set obj [get_filesets sim_1]
  311. set files [list \
  312. [file normalize "${origin_dir}/S5244_REPO/src/src/Sim/S5243TopPulseProfileTb.v"] \
  313. ]
  314. add_files -norecurse -fileset $obj $files
  315. # Set 'sim_1' fileset file properties for remote files
  316. # None
  317. # Set 'sim_1' fileset file properties for local files
  318. # None
  319. # Set 'sim_1' fileset properties
  320. set obj [get_filesets sim_1]
  321. set_property -name "hbs.configure_design_for_hier_access" -value "1" -objects $obj
  322. set_property -name "top" -value "S5243TopPulseProfileTb" -objects $obj
  323. set_property -name "top_auto_set" -value "0" -objects $obj
  324. set_property -name "top_lib" -value "xil_defaultlib" -objects $obj
  325. # Set 'utils_1' fileset object
  326. set obj [get_filesets utils_1]
  327. # Empty (no sources present)
  328. # Set 'utils_1' fileset properties
  329. set obj [get_filesets utils_1]
  330. # Create 'synth_1' run (if not found)
  331. if {[string equal [get_runs -quiet synth_1] ""]} {
  332. create_run -name synth_1 -part xc7s25csga324-2 -flow {Vivado Synthesis 2020} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1
  333. } else {
  334. set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
  335. set_property flow "Vivado Synthesis 2020" [get_runs synth_1]
  336. }
  337. set obj [get_runs synth_1]
  338. set_property set_report_strategy_name 1 $obj
  339. set_property report_strategy {Vivado Synthesis Default Reports} $obj
  340. set_property set_report_strategy_name 0 $obj
  341. # Create 'synth_1_synth_report_utilization_0' report (if not found)
  342. if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } {
  343. create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1
  344. }
  345. set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0]
  346. if { $obj != "" } {
  347. }
  348. set obj [get_runs synth_1]
  349. set_property -name "part" -value "xc7s25csga324-2" -objects $obj
  350. set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj
  351. set_property -name "steps.synth_design.args.flatten_hierarchy" -value "none" -objects $obj
  352. # set the current synth run
  353. current_run -synthesis [get_runs synth_1]
  354. # Create 'impl_1' run (if not found)
  355. if {[string equal [get_runs -quiet impl_1] ""]} {
  356. create_run -name impl_1 -part xc7s25csga324-2 -flow {Vivado Implementation 2020} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1
  357. } else {
  358. set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
  359. set_property flow "Vivado Implementation 2020" [get_runs impl_1]
  360. }
  361. set obj [get_runs impl_1]
  362. set_property set_report_strategy_name 1 $obj
  363. set_property report_strategy {Vivado Implementation Default Reports} $obj
  364. set_property set_report_strategy_name 0 $obj
  365. # Create 'impl_1_init_report_timing_summary_0' report (if not found)
  366. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } {
  367. create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1
  368. }
  369. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0]
  370. if { $obj != "" } {
  371. set_property -name "is_enabled" -value "0" -objects $obj
  372. set_property -name "options.max_paths" -value "10" -objects $obj
  373. }
  374. # Create 'impl_1_opt_report_drc_0' report (if not found)
  375. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } {
  376. create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1
  377. }
  378. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0]
  379. if { $obj != "" } {
  380. }
  381. # Create 'impl_1_opt_report_timing_summary_0' report (if not found)
  382. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } {
  383. create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1
  384. }
  385. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0]
  386. if { $obj != "" } {
  387. set_property -name "is_enabled" -value "0" -objects $obj
  388. set_property -name "options.max_paths" -value "10" -objects $obj
  389. }
  390. # Create 'impl_1_power_opt_report_timing_summary_0' report (if not found)
  391. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } {
  392. create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1
  393. }
  394. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0]
  395. if { $obj != "" } {
  396. set_property -name "is_enabled" -value "0" -objects $obj
  397. set_property -name "options.max_paths" -value "10" -objects $obj
  398. }
  399. # Create 'impl_1_place_report_io_0' report (if not found)
  400. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } {
  401. create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1
  402. }
  403. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0]
  404. if { $obj != "" } {
  405. }
  406. # Create 'impl_1_place_report_utilization_0' report (if not found)
  407. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } {
  408. create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1
  409. }
  410. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0]
  411. if { $obj != "" } {
  412. }
  413. # Create 'impl_1_place_report_control_sets_0' report (if not found)
  414. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } {
  415. create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1
  416. }
  417. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0]
  418. if { $obj != "" } {
  419. set_property -name "options.verbose" -value "1" -objects $obj
  420. }
  421. # Create 'impl_1_place_report_incremental_reuse_0' report (if not found)
  422. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } {
  423. create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
  424. }
  425. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0]
  426. if { $obj != "" } {
  427. set_property -name "is_enabled" -value "0" -objects $obj
  428. }
  429. # Create 'impl_1_place_report_incremental_reuse_1' report (if not found)
  430. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } {
  431. create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
  432. }
  433. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1]
  434. if { $obj != "" } {
  435. set_property -name "is_enabled" -value "0" -objects $obj
  436. }
  437. # Create 'impl_1_place_report_timing_summary_0' report (if not found)
  438. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } {
  439. create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1
  440. }
  441. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0]
  442. if { $obj != "" } {
  443. set_property -name "is_enabled" -value "0" -objects $obj
  444. set_property -name "options.max_paths" -value "10" -objects $obj
  445. }
  446. # Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found)
  447. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } {
  448. create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1
  449. }
  450. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0]
  451. if { $obj != "" } {
  452. set_property -name "is_enabled" -value "0" -objects $obj
  453. set_property -name "options.max_paths" -value "10" -objects $obj
  454. }
  455. # Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found)
  456. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } {
  457. create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1
  458. }
  459. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0]
  460. if { $obj != "" } {
  461. set_property -name "is_enabled" -value "0" -objects $obj
  462. set_property -name "options.max_paths" -value "10" -objects $obj
  463. }
  464. # Create 'impl_1_route_report_drc_0' report (if not found)
  465. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } {
  466. create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1
  467. }
  468. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0]
  469. if { $obj != "" } {
  470. }
  471. # Create 'impl_1_route_report_methodology_0' report (if not found)
  472. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } {
  473. create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1
  474. }
  475. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0]
  476. if { $obj != "" } {
  477. }
  478. # Create 'impl_1_route_report_power_0' report (if not found)
  479. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } {
  480. create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1
  481. }
  482. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0]
  483. if { $obj != "" } {
  484. }
  485. # Create 'impl_1_route_report_route_status_0' report (if not found)
  486. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } {
  487. create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1
  488. }
  489. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0]
  490. if { $obj != "" } {
  491. }
  492. # Create 'impl_1_route_report_timing_summary_0' report (if not found)
  493. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } {
  494. create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1
  495. }
  496. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0]
  497. if { $obj != "" } {
  498. set_property -name "options.max_paths" -value "10" -objects $obj
  499. }
  500. # Create 'impl_1_route_report_incremental_reuse_0' report (if not found)
  501. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } {
  502. create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1
  503. }
  504. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0]
  505. if { $obj != "" } {
  506. }
  507. # Create 'impl_1_route_report_clock_utilization_0' report (if not found)
  508. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } {
  509. create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1
  510. }
  511. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0]
  512. if { $obj != "" } {
  513. }
  514. # Create 'impl_1_route_report_bus_skew_0' report (if not found)
  515. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] "" ] } {
  516. create_report_config -report_name impl_1_route_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps route_design -runs impl_1
  517. }
  518. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0]
  519. if { $obj != "" } {
  520. set_property -name "options.warn_on_violation" -value "1" -objects $obj
  521. }
  522. # Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found)
  523. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } {
  524. create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1
  525. }
  526. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0]
  527. if { $obj != "" } {
  528. set_property -name "options.max_paths" -value "10" -objects $obj
  529. set_property -name "options.warn_on_violation" -value "1" -objects $obj
  530. }
  531. # Create 'impl_1_post_route_phys_opt_report_bus_skew_0' report (if not found)
  532. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] "" ] } {
  533. create_report_config -report_name impl_1_post_route_phys_opt_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps post_route_phys_opt_design -runs impl_1
  534. }
  535. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0]
  536. if { $obj != "" } {
  537. set_property -name "options.warn_on_violation" -value "1" -objects $obj
  538. }
  539. set obj [get_runs impl_1]
  540. set_property -name "part" -value "xc7s25csga324-2" -objects $obj
  541. set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj
  542. set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj
  543. set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj
  544. # set the current impl run
  545. current_run -implementation [get_runs impl_1]
  546. puts "INFO: Project created:${_xil_proj_name_}"
  547. # Create 'drc_1' gadget (if not found)
  548. if {[string equal [get_dashboard_gadgets [ list "drc_1" ] ] ""]} {
  549. create_dashboard_gadget -name {drc_1} -type drc
  550. }
  551. set obj [get_dashboard_gadgets [ list "drc_1" ] ]
  552. set_property -name "reports" -value "impl_1#impl_1_route_report_drc_0" -objects $obj
  553. # Create 'methodology_1' gadget (if not found)
  554. if {[string equal [get_dashboard_gadgets [ list "methodology_1" ] ] ""]} {
  555. create_dashboard_gadget -name {methodology_1} -type methodology
  556. }
  557. set obj [get_dashboard_gadgets [ list "methodology_1" ] ]
  558. set_property -name "reports" -value "impl_1#impl_1_route_report_methodology_0" -objects $obj
  559. # Create 'power_1' gadget (if not found)
  560. if {[string equal [get_dashboard_gadgets [ list "power_1" ] ] ""]} {
  561. create_dashboard_gadget -name {power_1} -type power
  562. }
  563. set obj [get_dashboard_gadgets [ list "power_1" ] ]
  564. set_property -name "reports" -value "impl_1#impl_1_route_report_power_0" -objects $obj
  565. # Create 'timing_1' gadget (if not found)
  566. if {[string equal [get_dashboard_gadgets [ list "timing_1" ] ] ""]} {
  567. create_dashboard_gadget -name {timing_1} -type timing
  568. }
  569. set obj [get_dashboard_gadgets [ list "timing_1" ] ]
  570. set_property -name "reports" -value "impl_1#impl_1_route_report_timing_summary_0" -objects $obj
  571. # Create 'utilization_1' gadget (if not found)
  572. if {[string equal [get_dashboard_gadgets [ list "utilization_1" ] ] ""]} {
  573. create_dashboard_gadget -name {utilization_1} -type utilization
  574. }
  575. set obj [get_dashboard_gadgets [ list "utilization_1" ] ]
  576. set_property -name "reports" -value "synth_1#synth_1_synth_report_utilization_0" -objects $obj
  577. set_property -name "run.step" -value "synth_design" -objects $obj
  578. set_property -name "run.type" -value "synthesis" -objects $obj
  579. # Create 'utilization_2' gadget (if not found)
  580. if {[string equal [get_dashboard_gadgets [ list "utilization_2" ] ] ""]} {
  581. create_dashboard_gadget -name {utilization_2} -type utilization
  582. }
  583. set obj [get_dashboard_gadgets [ list "utilization_2" ] ]
  584. set_property -name "reports" -value "impl_1#impl_1_place_report_utilization_0" -objects $obj
  585. move_dashboard_gadget -name {utilization_1} -row 0 -col 0
  586. move_dashboard_gadget -name {power_1} -row 1 -col 0
  587. move_dashboard_gadget -name {drc_1} -row 2 -col 0
  588. move_dashboard_gadget -name {timing_1} -row 0 -col 1
  589. move_dashboard_gadget -name {utilization_2} -row 1 -col 1
  590. move_dashboard_gadget -name {methodology_1} -row 2 -col 1
  591. ##################################################################
  592. # CHECK VIVADO VERSION
  593. ##################################################################
  594. set scripts_vivado_version 2020.2
  595. set current_vivado_version [version -short]
  596. if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
  597. catch {common::send_msg_id "IPS_TCL-100" "ERROR" "This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_ip_tcl to create an updated script."}
  598. return 1
  599. }
  600. ##################################################################
  601. # START
  602. ##################################################################
  603. # To test this script, run the following commands from Vivado Tcl console:
  604. # source recreateIp.tcl
  605. # If there is no project opened, this script will create a
  606. # project, but make sure you do not have an existing project
  607. # <./S5244/S5244.xpr> in the current working folder.
  608. set list_projs [get_projects -quiet]
  609. if { $list_projs eq "" } {
  610. create_project S5244 S5244 -part xc7s25csga324-2
  611. set_property target_language Verilog [current_project]
  612. set_property simulator_language Mixed [current_project]
  613. }
  614. ##################################################################
  615. # CHECK IPs
  616. ##################################################################
  617. set bCheckIPs 1
  618. set bCheckIPsPassed 1
  619. if { $bCheckIPs == 1 } {
  620. set list_check_ips { xilinx.com:ip:fifo_generator:13.2 }
  621. set list_ips_missing ""
  622. common::send_msg_id "IPS_TCL-1001" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
  623. foreach ip_vlnv $list_check_ips {
  624. set ip_obj [get_ipdefs -all $ip_vlnv]
  625. if { $ip_obj eq "" } {
  626. lappend list_ips_missing $ip_vlnv
  627. }
  628. }
  629. if { $list_ips_missing ne "" } {
  630. catch {common::send_msg_id "IPS_TCL-105" "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
  631. set bCheckIPsPassed 0
  632. }
  633. }
  634. if { $bCheckIPsPassed != 1 } {
  635. common::send_msg_id "IPS_TCL-102" "WARNING" "Will not continue with creation of design due to the error(s) above."
  636. return 1
  637. }
  638. ##################################################################
  639. # CREATE IP MeasDataFifo
  640. ##################################################################
  641. set MeasDataFifo [create_ip -name fifo_generator -vendor xilinx.com -library ip -version 13.2 -module_name MeasDataFifo]
  642. set_property -dict {
  643. CONFIG.Input_Data_Width {256}
  644. CONFIG.Input_Depth {4096}
  645. CONFIG.Output_Data_Width {256}
  646. CONFIG.Output_Depth {4096}
  647. CONFIG.Data_Count_Width {12}
  648. CONFIG.Write_Data_Count_Width {12}
  649. CONFIG.Read_Data_Count_Width {12}
  650. CONFIG.Full_Threshold_Assert_Value {4094}
  651. CONFIG.Full_Threshold_Negate_Value {4093}
  652. } [get_ips MeasDataFifo]
  653. set_property -dict {
  654. GENERATE_SYNTH_CHECKPOINT {1}
  655. } $MeasDataFifo
  656. ##################################################################
  657. ##################################################################
  658. # CREATE IP MeasDataFifoExtender
  659. ##################################################################
  660. set MeasDataFifoExtender [create_ip -name fifo_generator -vendor xilinx.com -library ip -version 13.2 -module_name MeasDataFifoExtender]
  661. set_property -dict {
  662. CONFIG.Input_Data_Width {256}
  663. CONFIG.Input_Depth {16}
  664. CONFIG.Output_Data_Width {256}
  665. CONFIG.Output_Depth {16}
  666. CONFIG.Data_Count_Width {4}
  667. CONFIG.Write_Data_Count_Width {4}
  668. CONFIG.Read_Data_Count_Width {4}
  669. CONFIG.Full_Threshold_Assert_Value {14}
  670. CONFIG.Full_Threshold_Negate_Value {13}
  671. } [get_ips MeasDataFifoExtender]
  672. set_property -dict {
  673. GENERATE_SYNTH_CHECKPOINT {1}
  674. } $MeasDataFifoExtender
  675. ##################################################################