Browse Source

Добавлен фильтр -ip ядро, с перестариваемым коэфициентом децимации.

ChStepan 1 năm trước cách đây
mục cha
commit
25024be2f2

Những thai đổi đã bị hủy bỏ vì nó quá lớn
+ 43 - 8
src/constrs/S5243Top.xdc


+ 126 - 12
src/src/ExtDspInterface/DspInterface.v

@@ -115,7 +115,7 @@ module	DspInterface
 	wire	signed	[AdcDataWidth-1:0]	currDataChannelDecim;
 	wire	currDataChannelDecimVal;
 	
-	wire	signed	[31:0]	filteredDecimDataI;
+	wire	signed	[31:0]	filteredDecimData;
 	wire	signed	[31:0]	filteredDecimDataQ;
 	wire	filteredDecimDataVal;
 //================================================================================
@@ -229,30 +229,144 @@ DspSlaveSpi
 	.Miso_o		(Miso_o)
 );
 
-DecimFilterWrapperTest	DecimFilter
-(
-	.Clk_i			(Clk_i),
-	.Rst_i			(Rst_i),
-	.DataVal_i		(OscWind_i),
-	.DecimFactor_i	(DecimFactor_i),
+// DecimFilterWrapperTest	DecimFilter
+// (
+	// .Clk_i			(Clk_i),
+	// .Rst_i			(Rst_i),
+	// .DataVal_i		(OscWind_i),
+	// .DecimFactor_i	(DecimFactor_i),
 	
 	
-	.Data_i		(currDataChannel),
+	// .Data_i		(currDataChannel),
 	// .TestData_o		(testData),
 	
-	.Data_o	(filteredDecimDataI),
-	.DataVal_o	(filteredDecimDataVal)
+	// .Data_o	(filteredDecimData),
+	// .DataVal_o	(filteredDecimDataVal)
+// );
+
+reg [15:0] currDecimFactor;
+reg [15:0] currDecimFactorR;
+
+reg changeFlag;
+reg decimChangeVal;
+
+reg [15:0] s_axis_config_tdata; 
+reg s_axis_config_tvalid;
+wire s_axis_config_tready;
+
+reg [15:0] s_axis_data_tdata;
+reg s_axis_data_tvalid;
+wire s_axis_data_tready;
+wire [31:0] m_axis_data_tdata;
+wire m_axis_data_tvalid;
+
+reg [2:0] corrDecimFactor;
+
+always	@(posedge	Clk_i)	begin
+	if	(!Rst_i)	begin
+		if (DecimFactor_i <= 3'd4) begin
+			corrDecimFactor <= 3'd4;
+		end else begin
+			corrDecimFactor <= DecimFactor_i;
+		end
+	end else begin
+		corrDecimFactor <= 0;
+	end
+end
+
+always @(posedge Clk_i) begin
+	if (Rst_i) begin
+		currDecimFactor <= 0;
+		currDecimFactorR <= 0;
+	end else begin
+		currDecimFactor <= {12'd0,corrDecimFactor};
+		currDecimFactorR <= currDecimFactor;
+	end
+end
+
+always @(posedge Clk_i) begin
+	if (Rst_i) begin
+		changeFlag <= 0;
+	end else begin
+		changeFlag <= (currDecimFactor!=currDecimFactorR);
+	end
+end
+
+always @(posedge Clk_i) begin
+	if (!Rst_i) begin
+		if (changeFlag) begin
+			decimChangeVal <= 1'b1;
+		end
+		if (s_axis_config_tvalid) begin
+			decimChangeVal <= 1'b0;
+		end
+	end else begin
+		decimChangeVal <= 1'b0;
+	end
+end
+
+always @(posedge Clk_i) begin
+	if (!Rst_i) begin
+		if (decimChangeVal) begin
+			if (s_axis_config_tready) begin
+				s_axis_config_tdata <= currDecimFactor;
+				s_axis_config_tvalid <= 1'b1;
+			end else begin
+				s_axis_config_tvalid <= 1'b0;
+			end
+		end else begin
+			s_axis_config_tdata <= s_axis_config_tdata;
+			s_axis_config_tvalid <= 1'b0;
+		end
+	end else begin
+		s_axis_config_tdata <= 0;
+		s_axis_config_tvalid <= 1'b0;
+	end	
+end
+
+always @(posedge Clk_i) begin
+	if (!Rst_i) begin
+		if (OscWind_i) begin
+			if (s_axis_data_tready) begin
+				s_axis_data_tdata <={{2{currDataChannel[AdcDataWidth-1]}},currDataChannel};
+				s_axis_data_tvalid <= 1'b1;
+			end else begin
+				s_axis_data_tvalid <= 1'b0;
+			end
+		end else begin
+			s_axis_data_tdata <= s_axis_data_tdata;
+			s_axis_data_tvalid <= 1'b0;
+		end
+	end else begin
+		s_axis_data_tdata <= 0;
+		s_axis_data_tvalid <= 1'b0;
+	end	
+end
+
+CicTest CicCompilerTest (
+  .aclk(Clk_i),                                  // input wire aclk
+  .s_axis_config_tdata(s_axis_config_tdata),    // input wire [15 : 0] s_axis_config_tdata
+  .s_axis_config_tvalid(s_axis_config_tvalid),  // input wire s_axis_config_tvalid
+  .s_axis_config_tready(s_axis_config_tready),  // output wire s_axis_config_tready
+  
+  .s_axis_data_tdata(s_axis_data_tdata),        // input wire [15 : 0] s_axis_data_tdata
+  .s_axis_data_tvalid(s_axis_data_tvalid),      // input wire s_axis_data_tvalid
+  .s_axis_data_tready(s_axis_data_tready),      // output wire s_axis_data_tready
+  
+  .m_axis_data_tdata(filteredDecimData),        // output wire [31 : 0] m_axis_data_tdata
+  .m_axis_data_tvalid(filteredDecimDataVal)      // output wire m_axis_data_tvalid
 );
 
 OscDataFormer	DecimDataFormer
 (
 	.Clk_i				(Clk_i), 
 	.Rst_i				(Rst_i),	
-	.OscWind_i			(OscWind_i),
+	.OscWind_i			(s_axis_data_tvalid),
+	// .OscWind_i			(OscWind_i),
 	.MeasNum_i			(MeasNum_i),
 
 	.AdcDataVal_i		(filteredDecimDataVal),
-	.AdcData_i			(filteredDecimDataI),	
+	.AdcData_i			(filteredDecimData),	
 	
 	.OscDataBus_o		(decimDataBus),
 	.OscDataBusVal_o	(decimDataBusVal)

+ 3 - 1
src/src/FftDataFiltering/DecimFilterWrapperTest.v

@@ -54,6 +54,7 @@ module	DecimFilterWrapperTest
 	
 	reg dataValReg;
 	wire dataValNeg = !DataVal_i&dataValReg;
+
 //================================================================================
 //	ASSIGNMENTS
 //================================================================================
@@ -83,7 +84,8 @@ cicFilterTest
 cicFilterInstI
 (
 	.Clk_i			(Clk_i),
-	.Rst_i			(Rst_i),
+	// .Rst_i			(Rst_i),
+	.Rst_i			(Rst_i|!DataVal_i),
 	.DecimFactor_i	(DecimFactor_i),
 	.Data_i			({{extendBitNum{Data_i[AdcDataWidth-1]}},Data_i}),
 	.DataNd_i		(DataVal_i),

+ 0 - 2
src/src/FftDataFiltering/decimBlock.v

@@ -35,8 +35,6 @@ module decimBlock
 );
 
 reg	[decimCntWidth-1:0]	decimCnt;
-reg	[inOutDataWidth-1:0]	dataReg;
-reg	valReg;
 
 reg	[2:0]	decimFactor;
 

+ 1 - 0
src/src/FftDataFiltering/intFilterBlock.v

@@ -21,6 +21,7 @@ module intFilterBlock
 	always @(posedge Clk_i or posedge Rst_i) begin
 		if (Rst_i) begin
 			outAcc <= 0;
+			outVal <= 1'b0;
 		end else begin
 			if (DataNd_i) begin
 				outAcc <= outAcc+Data_i;

+ 2 - 2
src/src/Sim/DecimFilterWrapperTb.v

@@ -55,12 +55,12 @@ wire	signed	[15:0]	singlePulse	=	(tbCnt>=10&tbCnt<=11)?	14'h1fff:14'h0;
 always	#10 Clk50	=	~Clk50;
 
 //==========================================================================================
-parameter	N	=	6;
+parameter	N	=	1;
 parameter	M	=	1;
 
 always	@(posedge	Clk50)	begin
 	if	(!Rst)	begin
-		decimFactor <= 6;
+		decimFactor <= 0;
 	end else begin
 		decimFactor <= 0;
 	end 

Những thai đổi đã bị hủy bỏ vì nó quá lớn
+ 999 - 166
src/src/Sim/FilteredData.txt


+ 838 - 5
src/src/Sim/ImpResp.txt

@@ -1,9 +1,842 @@
        8191
-    5799228
-   51496817
-   60097367
-    9927492
-      57337
+       8191
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
+          0
           0
           0
           0

+ 10 - 10
src/src/Sim/S5243TopPulseProfileTb.v

@@ -71,6 +71,7 @@ module S5243TopPulseProfileTb;
 	
 	//COMMANDS	FOR REG_MAP
 	parameter	[31:0]	MeasCmdBypass	=	{8'h11,8'h0,8'h63,8'h5};
+	parameter	[31:0]	MeasCmdBypass2	=	{8'h11,8'h0,8'h63,8'hB};
 	// parameter	[31:0]	MeasCmdFft 		=	{8'h11,8'h0,8'h63,7'h5,1'b1};
 	// parameter	[31:0]	MeasCmd 		=	{8'h11,8'h0,8'h53,8'h0};
 	// parameter	[31:0]	MeasCmd =	{8'h11,8'h3e,8'h63,8'h0};
@@ -238,7 +239,7 @@ always	@(posedge	Clk70)	begin
 	if	(!rst)	begin
 		if	(!endMeas)	begin
 			// if	(tb_cnt	==	3550	|	tb_cnt	==	3950	|tb_cnt	==	4505)	begin
-			if	(tb_cnt	==	3550)	begin
+			if	(tb_cnt	==	3550|tb_cnt	==	6200)	begin
 				startCalcCmdReg	<=	1'b1;
 			end	
 		end	else	begin
@@ -260,8 +261,8 @@ end
 wire	Adc1DataDa0P;
 wire	Adc1DataDa1P;
 
-wire	[31:0]	ncoPhInc1	=	32'h19999999;
-wire	[31:0]	ncoPhInc2	=	32'h33333333;
+reg	[31:0]	nco1PhaseInc	=	32'h051eb851;
+reg	[31:0]	nco2PhaseInc	=	32'h33333333;
 
 CordicNco		
 #(	.ODatWidth	(14),
@@ -273,7 +274,7 @@ ncoInst1
 	.Clk_i				(Clk50),
 	.Rst_i				(rst),
 	.Val_i				(1'b1),
-	.PhaseInc_i			(ncoPhInc1),
+	.PhaseInc_i			(nco1PhaseInc),
 	.WindVal_i			(1'b1),
 	.WinType_i			(),
 	.Wind_o				(),
@@ -292,7 +293,7 @@ ncoInst2
 	.Clk_i				(Clk50),
 	.Rst_i				(rst),
 	.Val_i				(1'b1),
-	.PhaseInc_i			(ncoPhInc2),
+	.PhaseInc_i			(nco2PhaseInc),
 	.WindVal_i			(1'b1),
 	.WinType_i			(),
 	.Wind_o				(),
@@ -439,7 +440,6 @@ always	@(posedge	Clk41)	begin
 	end
 end
 	
-
 always	@(posedge	Clk41)	begin
 	if	(txCurrState	==	CMD)	begin
 		if	(cmdCnt	==	0)	begin
@@ -580,10 +580,10 @@ always	@(posedge	Clk41)	begin
 			DspSpiData		<=	MuxCtrl3RegCmd;
 		end	else	if	(cmdCnt	==	68)	begin
 			DspSpiData		<=	AdcCtrl;
-		end	else	if	(cmdCnt	==	99)	begin
-			DspSpiData		<=	{8'h58,24'd100};
-		end	else	begin
-			DspSpiData	<=	32'hfffffff;
+		end	else 	if	(cmdCnt	==	100) begin
+			DspSpiData		<=	MeasCmdBypass2;
+		end	else begin
+			DspSpiData	<=	32'h0;
 		end
 	end	else	if	(txCurrState	==	TX)	begin
 		DspSpiData	<=	DspSpiData<<1;

+ 46 - 45
src/src/Top/S5243Top.v

@@ -725,7 +725,8 @@ ExternalDspInterface
 	.Adc2R2ReResult_i	(adc2ReR2),
 	.Adc2T2ImResult_i	(adc2ImT2),
 	.Adc2T2ReResult_i	(adc2ReT2),
-	.ServiseRegData_i	(ampEnNewStates),
+	// .ServiseRegData_i	(ampEnNewStates),
+	.ServiseRegData_i	(4'hF),
 	
 	.LpOutStart_i		(measDataRdy)
 );
@@ -779,8 +780,8 @@ InternalDsp
 	
 	.GatingPulse_i		(gatingPulse),
 	
-	.StartMeas_i		(measStart),
-	// .StartMeas_i		(sampleStrobe),
+	// .StartMeas_i		(measStart),
+	.StartMeas_i		(sampleStrobe),
 	.StartMeasDsp_i		(startMeasSync),
 	.OscDataRdFlag_i	(oscDataRdFlag),
 	
@@ -981,53 +982,53 @@ endgenerate
 //--------------------------------------------------------------------------------
 //	Gain Control module	
 //--------------------------------------------------------------------------------	
-genvar g;
-generate
-	for	(g=0;	g<ChNum;	g=g+1)	begin	:GainControl
-	GainControlWrapper
-	#(	
-		.AdcDataWidth		(AdcDataWidth),
-		.ThresholdWidth		(ThresholdWidth),
-		.PhIncWidth			(PhIncWidth),
-		.IfNcoOutWidth		(NcoWidth),
-		.MeasPeriod			(MeasPeriod)
-	)	
-	GainControlModule
-	(
-		.Rst_i				(initRst),
-		.Clk_i				(gclk),	
-		.StartMeas_i		(sampleStrobe),
+// genvar g;
+// generate
+	// for	(g=0;	g<ChNum;	g=g+1)	begin	:GainControl
+	// GainControlWrapper
+	// #(	
+		// .AdcDataWidth		(AdcDataWidth),
+		// .ThresholdWidth		(ThresholdWidth),
+		// .PhIncWidth			(PhIncWidth),
+		// .IfNcoOutWidth		(NcoWidth),
+		// .MeasPeriod			(MeasPeriod)
+	// )	
+	// GainControlModule
+	// (
+		// .Rst_i				(initRst),
+		// .Clk_i				(gclk),	
+		// .StartMeas_i		(sampleStrobe),
 		
-		.NcoSin_i			(ncoSin),
-		.NcoCos_i			(ncoCos),
+		// .NcoSin_i			(ncoSin),
+		// .NcoCos_i			(ncoCos),
 		
-		.AdcData_i			(adcDataBus[g]),
+		// .AdcData_i			(adcDataBus[g]),
 		// .AdcData_i			(AdcData_i),
 		
-		.GainLowThreshold_i	(gainLowThresholdBus[g]),
-		.GainHighThreshold_i(gainHighThresholdBus[g]),
-		.GainAutoEn_i		(gainAutoEn[g]),
-		.GainManualState_i	(gainManual[g]),
+		// .GainLowThreshold_i	(gainLowThresholdBus[g]),
+		// .GainHighThreshold_i(gainHighThresholdBus[g]),
+		// .GainAutoEn_i		(gainAutoEn[g]),
+		// .GainManualState_i	(gainManual[g]),
 		
-		.AmpEnNewState_o	(ampEnNewStates[g]),
-		.SensEn_o			(sensEn[g]),
-		.MeasStart_o		(measStartBus[g])
-	);
-	end
-endgenerate
-
-StartAfterGainSel	
-#(	
-	.ChNum	(ChNum)
-)	
-StartAfterGainSelInst
-(
-	.Rst_i			(initRst),
-	.GainCtrl_i		(gainAutoEn),
-	.MeasStart_i	(measStartBus),
-	
-	.MeasStart_o	(measStart)
-);
+		// .AmpEnNewState_o	(ampEnNewStates[g]),
+		// .SensEn_o			(sensEn[g]),
+		// .MeasStart_o		(measStartBus[g])
+	// );
+	// end
+// endgenerate
+
+// StartAfterGainSel	
+// #(	
+	// .ChNum	(ChNum)
+// )	
+// StartAfterGainSelInst
+// (
+	// .Rst_i			(initRst),
+	// .GainCtrl_i		(gainAutoEn),
+	// .MeasStart_i	(measStartBus),
+	
+	// .MeasStart_o	(measStart)
+// );
 //--------------------------------------------------------------------------------
 //	Trig TO/FROM DSP	
 //--------------------------------------------------------------------------------