Procházet zdrojové kódy

Проект откатился обратно на версию vivado 2022.2.

Shalambala před 2 roky
rodič
revize
0d2626771d
100 změnil soubory, kde provedl 9 přidání a 303503 odebrání
  1. 0 72
      S5443_M/S5443.ip_user_files/ip/MeasDataFifo/MeasDataFifo.veo
  2. 0 32
      S5443_M/S5443.ip_user_files/ip/MeasDataFifo/MeasDataFifo.vho
  3. 0 27
      S5443_M/S5443.ip_user_files/ip/MeasDataFifo/MeasDataFifo_stub.v
  4. 0 26
      S5443_M/S5443.ip_user_files/ip/MeasDataFifo/MeasDataFifo_stub.vhdl
  5. 0 4481
      S5443_M/S5443.ip_user_files/ipstatic/simulation/blk_mem_gen_v8_4.v
  6. 0 400
      S5443_M/S5443.ip_user_files/mem_init_files/blk_mem_gen_0.mif
  7. 0 115
      S5443_M/S5443.ip_user_files/mem_init_files/fir_compiler_0.h
  8. 0 8
      S5443_M/S5443.ip_user_files/mem_init_files/fir_compiler_0.mif
  9. 0 402
      S5443_M/S5443.ip_user_files/mem_init_files/sincoscalues.coe
  10. 0 83
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/README.txt
  11. 0 153
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/MeasDataFifo.sh
  12. 0 0
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/MeasDataFifo.udo
  13. 0 49
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/README.txt
  14. 0 22
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/compile.do
  15. 0 5
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/file_info.txt
  16. 0 84
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/glbl.v
  17. 0 17
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/simulate.do
  18. 0 2
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/wave.do
  19. 0 175
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/ies/MeasDataFifo.sh
  20. 0 48
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/ies/README.txt
  21. 0 5
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/ies/file_info.txt
  22. 0 84
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/ies/glbl.v
  23. 0 14
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/ies/run.f
  24. 0 167
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/MeasDataFifo.sh
  25. 0 0
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/MeasDataFifo.udo
  26. 0 49
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/README.txt
  27. 0 14
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/compile.do
  28. 0 2
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/file_info.txt
  29. 0 84
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/glbl.v
  30. 0 2526
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/modelsim.ini
  31. 0 16
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/simulate.do
  32. 0 2
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/wave.do
  33. 0 174
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/MeasDataFifo.sh
  34. 0 0
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/MeasDataFifo.udo
  35. 0 49
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/README.txt
  36. 0 22
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/compile.do
  37. 0 1
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/elaborate.do
  38. 0 5
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/file_info.txt
  39. 0 84
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/glbl.v
  40. 0 16
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/simulate.do
  41. 0 2
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/wave.do
  42. 0 153
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/MeasDataFifo.sh
  43. 0 0
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/MeasDataFifo.udo
  44. 0 49
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/README.txt
  45. 0 22
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/compile.do
  46. 0 5
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/file_info.txt
  47. 0 84
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/glbl.v
  48. 0 17
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/simulate.do
  49. 0 2
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/wave.do
  50. 0 229
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/vcs/MeasDataFifo.sh
  51. 0 49
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/vcs/README.txt
  52. 0 5
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/vcs/file_info.txt
  53. 0 84
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/vcs/glbl.v
  54. 0 2
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/vcs/simulate.do
  55. 0 175
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xcelium/MeasDataFifo.sh
  56. 0 48
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xcelium/README.txt
  57. 0 5
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xcelium/file_info.txt
  58. 0 84
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xcelium/glbl.v
  59. 0 14
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xcelium/run.f
  60. 0 212
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/MeasDataFifo.sh
  61. 0 49
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/README.txt
  62. 0 12
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/cmd.tcl
  63. 0 1
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/elab.opt
  64. 0 2
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/file_info.txt
  65. 0 84
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/glbl.v
  66. 0 6
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/vlog.prj
  67. 0 497
      S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/xsim.ini
  68. 0 0
      S5443_M/S5443.srcs/sources_1/ip/.Xil/.MeasDataFifo.xcix.lock
  69. binární
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.dcp
  70. 0 72
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.veo
  71. 0 32
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.vho
  72. 0 64
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc
  73. 0 69
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_clocks.xdc
  74. 0 57
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_ooc.xdc
  75. 0 13225
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v
  76. 0 14563
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.vhdl
  77. 0 27
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_stub.v
  78. 0 26
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_stub.vhdl
  79. 0 254
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/doc/fifo_generator_v13_2_changelog.txt
  80. 0 193196
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd
  81. 0 7777
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/hdl/fifo_generator_v13_2_rfs.v
  82. 0 18837
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/hdl/fifo_generator_v13_2_rfs.vhd
  83. 0 31975
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/hdl/fifo_generator_v13_2_vhsyn_rfs.vhd
  84. 0 520
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/sim/MeasDataFifo.v
  85. 0 10519
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/simulation/fifo_generator_vlog_beh.v
  86. 0 806
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/synth/MeasDataFifo.vhd
  87. 0 19
      S5443_M/S5443.srcs/sources_1/new/fir_filter.coe
  88. 6 0
      S5443_M/fpgaS5443/fpgaS5443.hw/fpgaS5443.lpr
  89. 0 0
      S5443_M/fpgaS5443/fpgaS5443.srcs/constrs_1/new/S5243Top.xdc
  90. 0 48
      S5443_M/S5443.srcs/constrs_1/new/S5443Top.xdc
  91. 3 10
      S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci
  92. 0 0
      S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/AdcDataInterface.v
  93. 0 0
      S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/AdcSync.v
  94. 0 0
      S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/delay_controller_wrap.v
  95. 0 0
      S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v
  96. 0 0
      S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v
  97. 0 0
      S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/serdes_1_to_7_slave_idelay_sdr.v
  98. 0 0
      S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/top5x2_7to1_sdr_rx.v
  99. 0 0
      S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcInit/AdcInitInterface.v
  100. 0 0
      S5443_M/S5443.srcs/sources_1/new/AdcInit/AdcInitRst.v

+ 0 - 72
S5443_M/S5443.ip_user_files/ip/MeasDataFifo/MeasDataFifo.veo

@@ -1,72 +0,0 @@
-// (c) Copyright 1995-2023 Xilinx, Inc. All rights reserved.
-// 
-// This file contains confidential and proprietary information
-// of Xilinx, Inc. and is protected under U.S. and
-// international copyright and other intellectual property
-// laws.
-// 
-// DISCLAIMER
-// This disclaimer is not a license and does not grant any
-// rights to the materials distributed herewith. Except as
-// otherwise provided in a valid license issued to you by
-// Xilinx, and to the maximum extent permitted by applicable
-// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-// (2) Xilinx shall not be liable (whether in contract or tort,
-// including negligence, or under any other theory of
-// liability) for any loss or damage of any kind or nature
-// related to, arising under or in connection with these
-// materials, including for any direct, or any indirect,
-// special, incidental, or consequential loss or damage
-// (including loss of data, profits, goodwill, or any type of
-// loss or damage suffered as a result of any action brought
-// by a third party) even if such damage or loss was
-// reasonably foreseeable or Xilinx had been advised of the
-// possibility of the same.
-// 
-// CRITICAL APPLICATIONS
-// Xilinx products are not designed or intended to be fail-
-// safe, or for use in any application requiring fail-safe
-// performance, such as life-support or safety devices or
-// systems, Class III medical devices, nuclear facilities,
-// applications related to the deployment of airbags, or any
-// other applications that could lead to death, personal
-// injury, or severe property or environmental damage
-// (individually and collectively, "Critical
-// Applications"). Customer assumes the sole risk and
-// liability of any use of Xilinx products in Critical
-// Applications, subject only to applicable laws and
-// regulations governing limitations on product liability.
-// 
-// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-// PART OF THIS FILE AT ALL TIMES.
-// 
-// DO NOT MODIFY THIS FILE.
-
-// IP VLNV: xilinx.com:ip:fifo_generator:13.2
-// IP Revision: 5
-
-// The following must be inserted into your Verilog file for this
-// core to be instantiated. Change the instance name and port connections
-// (in parentheses) to your own signal names.
-
-//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
-MeasDataFifo your_instance_name (
-  .clk(clk),      // input wire clk
-  .srst(srst),    // input wire srst
-  .din(din),      // input wire [255 : 0] din
-  .wr_en(wr_en),  // input wire wr_en
-  .rd_en(rd_en),  // input wire rd_en
-  .dout(dout),    // output wire [255 : 0] dout
-  .full(full),    // output wire full
-  .empty(empty)  // output wire empty
-);
-// INST_TAG_END ------ End INSTANTIATION Template ---------
-
-// You must compile the wrapper file MeasDataFifo.v when simulating
-// the core, MeasDataFifo. When compiling the wrapper file, be sure to
-// reference the Verilog simulation library.
-

+ 0 - 32
S5443_M/S5443.ip_user_files/ip/MeasDataFifo/MeasDataFifo.vho

@@ -1,89 +0,0 @@
-
-
-
-------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
-COMPONENT MeasDataFifo
-  PORT (
-    clk : IN STD_LOGIC;
-    srst : IN STD_LOGIC;
-    din : IN STD_LOGIC_VECTOR(255 DOWNTO 0);
-    wr_en : IN STD_LOGIC;
-    rd_en : IN STD_LOGIC;
-    dout : OUT STD_LOGIC_VECTOR(255 DOWNTO 0);
-    full : OUT STD_LOGIC;
-    empty : OUT STD_LOGIC
-  );
-END COMPONENT;
-
-
-------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
-your_instance_name : MeasDataFifo
-  PORT MAP (
-    clk => clk,
-    srst => srst,
-    din => din,
-    wr_en => wr_en,
-    rd_en => rd_en,
-    dout => dout,
-    full => full,
-    empty => empty
-  );
-
-

+ 0 - 27
S5443_M/S5443.ip_user_files/ip/MeasDataFifo/MeasDataFifo_stub.v

@@ -1,27 +0,0 @@
-// Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
-// --------------------------------------------------------------------------------
-// Tool Version: Vivado v.2020.2 (win64) Build 3064766 Wed Nov 18 09:12:45 MST 2020
-// Date        : Thu Jul 13 15:41:50 2023
-// Host        : DESKTOP-RMARCDV running 64-bit major release  (build 9200)
-// Command     : write_verilog -force -mode synth_stub -rename_top MeasDataFifo -prefix
-//               MeasDataFifo_ MeasDataFifo_stub.v
-// Design      : MeasDataFifo
-// Purpose     : Stub declaration of top-level module interface
-// Device      : xc7s25csga324-2
-// --------------------------------------------------------------------------------
-
-// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
-// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
-// Please paste the declaration into a Verilog source file or add the file as an additional source.
-(* x_core_info = "fifo_generator_v13_2_5,Vivado 2020.2" *)
-module MeasDataFifo(clk, srst, din, wr_en, rd_en, dout, full, empty)
-/* synthesis syn_black_box black_box_pad_pin="clk,srst,din[255:0],wr_en,rd_en,dout[255:0],full,empty" */;
-  input clk;
-  input srst;
-  input [255:0]din;
-  input wr_en;
-  input rd_en;
-  output [255:0]dout;
-  output full;
-  output empty;
-endmodule

+ 0 - 26
S5443_M/S5443.ip_user_files/ip/MeasDataFifo/MeasDataFifo_stub.vhdl

@@ -1,37 +0,0 @@
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-
-entity MeasDataFifo is
-  Port ( 
-    clk : in STD_LOGIC;
-    srst : in STD_LOGIC;
-    din : in STD_LOGIC_VECTOR ( 255 downto 0 );
-    wr_en : in STD_LOGIC;
-    rd_en : in STD_LOGIC;
-    dout : out STD_LOGIC_VECTOR ( 255 downto 0 );
-    full : out STD_LOGIC;
-    empty : out STD_LOGIC
-  );
-
-end MeasDataFifo;
-
-architecture stub of MeasDataFifo is
-attribute syn_black_box : boolean;
-attribute black_box_pad_pin : string;
-attribute syn_black_box of stub : architecture is true;
-attribute black_box_pad_pin of stub : architecture is "clk,srst,din[255:0],wr_en,rd_en,dout[255:0],full,empty";
-attribute x_core_info : string;
-attribute x_core_info of stub : architecture is "fifo_generator_v13_2_5,Vivado 2020.2";
-begin
-end;

Rozdílová data souboru nebyla zobrazena, protože soubor je příliš velký
+ 0 - 4481
S5443_M/S5443.ip_user_files/ipstatic/simulation/blk_mem_gen_v8_4.v


+ 0 - 400
S5443_M/S5443.ip_user_files/mem_init_files/blk_mem_gen_0.mif

@@ -1,400 +0,0 @@
-011111111111111111000000000000000000
-011111111111101111000000100000001010
-011111111110111111000001000000010101
-011111111101101110000001100000011110
-011111111011111101000010000000100110
-011111111001101011000010100000101011
-011111110110111010000011000000101110
-011111110011101000000011100000101111
-011111101111110110000100000000101011
-011111101011100100000100100000100100
-011111100110110010000101000000011000
-011111100001100000000101100000000111
-011111011011101110000101111111110000
-011111010101011100000110011111010011
-011111001110101011000110111110110000
-011111000111011010000111011110000110
-011110111111101010000111111101010100
-011110110111011010001000011100011010
-011110101110101011001000111011010111
-011110100101011101001001011010001100
-011110011011110000001001111000110111
-011110010001100101001010010111011000
-011110000110111011001010110101101111
-011101111011110010001011010011111010
-011101110000001011001011110001111010
-011101100100000110001100001111101111
-011101010111100011001100101101010110
-011101001010100011001101001010110010
-011100111101000101001101100111111111
-011100101111001010001110000100111111
-011100100000110010001110100001110001
-011100010001111100001110111110010100
-011100000010101011001111011010101000
-011011110010111101001111110110101100
-011011100010110011010000010010100001
-011011010010001101010000101110000100
-011011000001001011010001001001010111
-011010101111101110010001100100011001
-011010011101110111010001111111001001
-011010001011100100010010011001100111
-011001111000110111010010110011110010
-011001100101110000010011001101101010
-011001010010001111010011100111001110
-011000111110010100010100000000011111
-011000101010000000010100011001011100
-011000010101010011010100110010000100
-011000000000001110010101001010010111
-010111101010110000010101100010010101
-010111010100111011010101111001111100
-010110111110101110010110010001001110
-010110101000001001010110101000001001
-010110010001001110010110111110101110
-010101111001111100010111010100111011
-010101100010010101010111101010110000
-010101001010010111011000000000001110
-010100110010000100011000010101010011
-010100011001011100011000101010000000
-010100000000011111011000111110010100
-010011100111001110011001010010001111
-010011001101101010011001100101110000
-010010110011110010011001111000110111
-010010011001100111011010001011100100
-010001111111001001011010011101110111
-010001100100011001011010101111101110
-010001001001010111011011000001001011
-010000101110000100011011010010001101
-010000010010100001011011100010110011
-001111110110101100011011110010111101
-001111011010101000011100000010101011
-001110111110010100011100010001111100
-001110100001110001011100100000110010
-001110000100111111011100101111001010
-001101100111111111011100111101000101
-001101001010110010011101001010100011
-001100101101010110011101010111100011
-001100001111101111011101100100000110
-001011110001111010011101110000001011
-001011010011111010011101111011110010
-001010110101101111011110000110111011
-001010010111011000011110010001100101
-001001111000110111011110011011110000
-001001011010001100011110100101011101
-001000111011010111011110101110101011
-001000011100011010011110110111011010
-000111111101010100011110111111101010
-000111011110000110011111000111011010
-000110111110110000011111001110101011
-000110011111010011011111010101011100
-000101111111110000011111011011101110
-000101100000000111011111100001100000
-000101000000011000011111100110110010
-000100100000100100011111101011100100
-000100000000101011011111101111110110
-000011100000101111011111110011101000
-000011000000101110011111110110111010
-000010100000101011011111111001101011
-000010000000100110011111111011111101
-000001100000011110011111111101101110
-000001000000010101011111111110111111
-000000100000001010011111111111101111
-000000000000000000011111111111111111
-111111011111110110011111111111101111
-111110111111101011011111111110111111
-111110011111100010011111111101101110
-111101111111011010011111111011111101
-111101011111010101011111111001101011
-111100111111010010011111110110111010
-111100011111010001011111110011101000
-111011111111010101011111101111110110
-111011011111011100011111101011100100
-111010111111101000011111100110110010
-111010011111111001011111100001100000
-111010000000010000011111011011101110
-111001100000101101011111010101011100
-111001000001010000011111001110101011
-111000100001111010011111000111011010
-111000000010101100011110111111101010
-110111100011100110011110110111011010
-110111000100101001011110101110101011
-110110100101110100011110100101011101
-110110000111001001011110011011110000
-110101101000101000011110010001100101
-110101001010010001011110000110111011
-110100101100000110011101111011110010
-110100001110000110011101110000001011
-110011110000010001011101100100000110
-110011010010101010011101010111100011
-110010110101001110011101001010100011
-110010011000000001011100111101000101
-110001111011000001011100101111001010
-110001011110001111011100100000110010
-110001000001101100011100010001111100
-110000100101011000011100000010101011
-110000001001010100011011110010111101
-101111101101011111011011100010110011
-101111010001111100011011010010001101
-101110110110101001011011000001001011
-101110011011100111011010101111101110
-101110000000110111011010011101110111
-101101100110011001011010001011100100
-101101001100001110011001111000110111
-101100110010010110011001100101110000
-101100011000110010011001010010001111
-101011111111100001011000111110010100
-101011100110100100011000101010000000
-101011001101111100011000010101010011
-101010110101101001011000000000001110
-101010011101101011010111101010110000
-101010000110000100010111010100111011
-101001101110110010010110111110101110
-101001010111110111010110101000001001
-101001000001010010010110010001001110
-101000101011000101010101111001111100
-101000010101010000010101100010010101
-100111111111110010010101001010010111
-100111101010101101010100110010000100
-100111010110000000010100011001011100
-100111000001101100010100000000011111
-100110101101110001010011100111001110
-100110011010010000010011001101101010
-100110000111001001010010110011110010
-100101110100011100010010011001100111
-100101100010001001010001111111001001
-100101010000010010010001100100011001
-100100111110110101010001001001010111
-100100101101110011010000101110000100
-100100011101001101010000010010100001
-100100001101000011001111110110101100
-100011111101010101001111011010101000
-100011101110000100001110111110010100
-100011011111001110001110100001110001
-100011010000110110001110000100111111
-100011000010111011001101100111111111
-100010110101011101001101001010110010
-100010101000011101001100101101010110
-100010011011111010001100001111101111
-100010001111110101001011110001111010
-100010000100001110001011010011111010
-100001111001000101001010110101101111
-100001101110011011001010010111011000
-100001100100010000001001111000110111
-100001011010100011001001011010001100
-100001010001010101001000111011010111
-100001001000100110001000011100011010
-100001000000010110000111111101010100
-100000111000100110000111011110000110
-100000110001010101000110111110110000
-100000101010100100000110011111010011
-100000100100010010000101111111110000
-100000011110100000000101100000000111
-100000011001001110000101000000011000
-100000010100011100000100100000100100
-100000010000001010000100000000101011
-100000001100011000000011100000101111
-100000001001000110000011000000101110
-100000000110010101000010100000101011
-100000000100000011000010000000100110
-100000000010010010000001100000011110
-100000000001000001000001000000010101
-100000000000010001000000100000001010
-100000000000000000000000000000000000
-100000000000010001111111011111110110
-100000000001000001111110111111101011
-100000000010010010111110011111100010
-100000000100000011111101111111011010
-100000000110010101111101011111010101
-100000001001000110111100111111010010
-100000001100011000111100011111010001
-100000010000001010111011111111010101
-100000010100011100111011011111011100
-100000011001001110111010111111101000
-100000011110100000111010011111111001
-100000100100010010111010000000010000
-100000101010100100111001100000101101
-100000110001010101111001000001010000
-100000111000100110111000100001111010
-100001000000010110111000000010101100
-100001001000100110110111100011100110
-100001010001010101110111000100101001
-100001011010100011110110100101110100
-100001100100010000110110000111001001
-100001101110011011110101101000101000
-100001111001000101110101001010010001
-100010000100001110110100101100000110
-100010001111110101110100001110000110
-100010011011111010110011110000010001
-100010101000011101110011010010101010
-100010110101011101110010110101001110
-100011000010111011110010011000000001
-100011010000110110110001111011000001
-100011011111001110110001011110001111
-100011101110000100110001000001101100
-100011111101010101110000100101011000
-100100001101000011110000001001010100
-100100011101001101101111101101011111
-100100101101110011101111010001111100
-100100111110110101101110110110101001
-100101010000010010101110011011100111
-100101100010001001101110000000110111
-100101110100011100101101100110011001
-100110000111001001101101001100001110
-100110011010010000101100110010010110
-100110101101110001101100011000110010
-100111000001101100101011111111100001
-100111010110000000101011100110100100
-100111101010101101101011001101111100
-100111111111110010101010110101101001
-101000010101010000101010011101101011
-101000101011000101101010000110000100
-101001000001010010101001101110110010
-101001010111110111101001010111110111
-101001101110110010101001000001010010
-101010000110000100101000101011000101
-101010011101101011101000010101010000
-101010110101101001100111111111110010
-101011001101111100100111101010101101
-101011100110100100100111010110000000
-101011111111100001100111000001101100
-101100011000110010100110101101110001
-101100110010010110100110011010010000
-101101001100001110100110000111001001
-101101100110011001100101110100011100
-101110000000110111100101100010001001
-101110011011100111100101010000010010
-101110110110101001100100111110110101
-101111010001111100100100101101110011
-101111101101011111100100011101001101
-110000001001010100100100001101000011
-110000100101011000100011111101010101
-110001000001101100100011101110000100
-110001011110001111100011011111001110
-110001111011000001100011010000110110
-110010011000000001100011000010111011
-110010110101001110100010110101011101
-110011010010101010100010101000011101
-110011110000010001100010011011111010
-110100001110000110100010001111110101
-110100101100000110100010000100001110
-110101001010010001100001111001000101
-110101101000101000100001101110011011
-110110000111001001100001100100010000
-110110100101110100100001011010100011
-110111000100101001100001010001010101
-110111100011100110100001001000100110
-111000000010101100100001000000010110
-111000100001111010100000111000100110
-111001000001010000100000110001010101
-111001100000101101100000101010100100
-111010000000010000100000100100010010
-111010011111111001100000011110100000
-111010111111101000100000011001001110
-111011011111011100100000010100011100
-111011111111010101100000010000001010
-111100011111010001100000001100011000
-111100111111010010100000001001000110
-111101011111010101100000000110010101
-111101111111011010100000000100000011
-111110011111100010100000000010010010
-111110111111101011100000000001000001
-111111011111110110100000000000010001
-000000000000000000100000000000000000
-000000100000001010100000000000010001
-000001000000010101100000000001000001
-000001100000011110100000000010010010
-000010000000100110100000000100000011
-000010100000101011100000000110010101
-000011000000101110100000001001000110
-000011100000101111100000001100011000
-000100000000101011100000010000001010
-000100100000100100100000010100011100
-000101000000011000100000011001001110
-000101100000000111100000011110100000
-000101111111110000100000100100010010
-000110011111010011100000101010100100
-000110111110110000100000110001010101
-000111011110000110100000111000100110
-000111111101010100100001000000010110
-001000011100011010100001001000100110
-001000111011010111100001010001010101
-001001011010001100100001011010100011
-001001111000110111100001100100010000
-001010010111011000100001101110011011
-001010110101101111100001111001000101
-001011010011111010100010000100001110
-001011110001111010100010001111110101
-001100001111101111100010011011111010
-001100101101010110100010101000011101
-001101001010110010100010110101011101
-001101100111111111100011000010111011
-001110000100111111100011010000110110
-001110100001110001100011011111001110
-001110111110010100100011101110000100
-001111011010101000100011111101010101
-001111110110101100100100001101000011
-010000010010100001100100011101001101
-010000101110000100100100101101110011
-010001001001010111100100111110110101
-010001100100011001100101010000010010
-010001111111001001100101100010001001
-010010011001100111100101110100011100
-010010110011110010100110000111001001
-010011001101101010100110011010010000
-010011100111001110100110101101110001
-010100000000011111100111000001101100
-010100011001011100100111010110000000
-010100110010000100100111101010101101
-010101001010010111100111111111110010
-010101100010010101101000010101010000
-010101111001111100101000101011000101
-010110010001001110101001000001010010
-010110101000001001101001010111110111
-010110111110101110101001101110110010
-010111010100111011101010000110000100
-010111101010110000101010011101101011
-011000000000001110101010110101101001
-011000010101010011101011001101111100
-011000101010000000101011100110100100
-011000111110010100101011111111100001
-011001010010001111101100011000110010
-011001100101110000101100110010010110
-011001111000110111101101001100001110
-011010001011100100101101100110011001
-011010011101110111101110000000110111
-011010101111101110101110011011100111
-011011000001001011101110110110101001
-011011010010001101101111010001111100
-011011100010110011101111101101011111
-011011110010111101110000001001010100
-011100000010101011110000100101011000
-011100010001111100110001000001101100
-011100100000110010110001011110001111
-011100101111001010110001111011000001
-011100111101000101110010011000000001
-011101001010100011110010110101001110
-011101010111100011110011010010101010
-011101100100000110110011110000010001
-011101110000001011110100001110000110
-011101111011110010110100101100000110
-011110000110111011110101001010010001
-011110010001100101110101101000101000
-011110011011110000110110000111001001
-011110100101011101110110100101110100
-011110101110101011110111000100101001
-011110110111011010110111100011100110
-011110111111101010111000000010101100
-011111000111011010111000100001111010
-011111001110101011111001000001010000
-011111010101011100111001100000101101
-011111011011101110111010000000010000
-011111100001100000111010011111111001
-011111100110110010111010111111101000
-011111101011100100111011011111011100
-011111101111110110111011111111010101
-011111110011101000111100011111010001
-011111110110111010111100111111010010
-011111111001101011111101011111010101
-011111111011111101111101111111011010
-011111111101101110111110011111100010
-011111111110111111111110111111101011
-011111111111101111111111011111110110

+ 0 - 115
S5443_M/S5443.ip_user_files/mem_init_files/fir_compiler_0.h

@@ -1,115 +0,0 @@
-
-//------------------------------------------------------------------------------
-// (c) Copyright 2014 Xilinx, Inc. All rights reserved.
-//
-// This file contains confidential and proprietary information
-// of Xilinx, Inc. and is protected under U.S. and
-// international copyright and other intellectual property
-// laws.
-//
-// DISCLAIMER
-// This disclaimer is not a license and does not grant any
-// rights to the materials distributed herewith. Except as
-// otherwise provided in a valid license issued to you by
-// Xilinx, and to the maximum extent permitted by applicable
-// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-// (2) Xilinx shall not be liable (whether in contract or tort,
-// including negligence, or under any other theory of
-// liability) for any loss or damage of any kind or nature
-// related to, arising under or in connection with these
-// materials, including for any direct, or any indirect,
-// special, incidental, or consequential loss or damage
-// (including loss of data, profits, goodwill, or any type of
-// loss or damage suffered as a result of any action brought
-// by a third party) even if such damage or loss was
-// reasonably foreseeable or Xilinx had been advised of the
-// possibility of the same.
-//
-// CRITICAL APPLICATIONS
-// Xilinx products are not designed or intended to be fail-
-// safe, or for use in any application requiring fail-safe
-// performance, such as life-support or safety devices or
-// systems, Class III medical devices, nuclear facilities,
-// applications related to the deployment of airbags, or any
-// other applications that could lead to death, personal
-// injury, or severe property or environmental damage
-// (individually and collectively, "Critical
-// Applications"). Customer assumes the sole risk and
-// liability of any use of Xilinx products in Critical
-// Applications, subject only to applicable laws and
-// regulations governing limitations on product liability.
-//
-// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-// PART OF THIS FILE AT ALL TIMES.
-//------------------------------------------------------------------------------ 
-//
-// C Model configuration for the "fir_compiler_0" instance.
-//
-//------------------------------------------------------------------------------
-//
-// coefficients: 1283,-543,-4323,7716,8806,-34012,-178,131071,131071,-178,-34012,8806,7716,-4323,-543,1283
-// chanpats: 173
-// name: fir_compiler_0
-// filter_type: 0
-// rate_change: 0
-// interp_rate: 1
-// decim_rate: 1
-// zero_pack_factor: 1
-// coeff_padding: 0
-// num_coeffs: 16
-// coeff_sets: 1
-// reloadable: 0
-// is_halfband: 0
-// quantization: 0
-// coeff_width: 18
-// coeff_fract_width: 0
-// chan_seq: 0
-// num_channels: 1
-// num_paths: 1
-// data_width: 22
-// data_fract_width: 21
-// output_rounding_mode: 0
-// output_width: 41
-// output_fract_width: 21
-// config_method: 0
-
-const double fir_compiler_0_coefficients[16] = {1283,-543,-4323,7716,8806,-34012,-178,131071,131071,-178,-34012,8806,7716,-4323,-543,1283};
-
-const xip_fir_v7_2_pattern fir_compiler_0_chanpats[1] = {P_BASIC};
-
-static xip_fir_v7_2_config gen_fir_compiler_0_config() {
-  xip_fir_v7_2_config config;
-  config.name                = "fir_compiler_0";
-  config.filter_type         = 0;
-  config.rate_change         = XIP_FIR_INTEGER_RATE;
-  config.interp_rate         = 1;
-  config.decim_rate          = 1;
-  config.zero_pack_factor    = 1;
-  config.coeff               = &fir_compiler_0_coefficients[0];
-  config.coeff_padding       = 0;
-  config.num_coeffs          = 16;
-  config.coeff_sets          = 1;
-  config.reloadable          = 0;
-  config.is_halfband         = 0;
-  config.quantization        = XIP_FIR_INTEGER_COEFF;
-  config.coeff_width         = 18;
-  config.coeff_fract_width   = 0;
-  config.chan_seq            = XIP_FIR_BASIC_CHAN_SEQ;
-  config.num_channels        = 1;
-  config.init_pattern        = fir_compiler_0_chanpats[0];
-  config.num_paths           = 1;
-  config.data_width          = 22;
-  config.data_fract_width    = 21;
-  config.output_rounding_mode= XIP_FIR_FULL_PRECISION;
-  config.output_width        = 41;
-  config.output_fract_width  = 21,
-  config.config_method       = XIP_FIR_CONFIG_SINGLE;
-  return config;
-}
-
-const xip_fir_v7_2_config fir_compiler_0_config = gen_fir_compiler_0_config();
-

+ 0 - 8
S5443_M/S5443.ip_user_files/mem_init_files/fir_compiler_0.mif

@@ -1,8 +0,0 @@
-00000503 0 0 0 0 0 0
-fffffde1 0 0 0 0 1 0
-ffffef1d 0 1 0 0 2 0
-00001e24 0 1 0 0 3 0
-00002266 0 2 0 0 4 0
-ffff7b24 0 2 0 0 5 0
-ffffff4e 0 3 0 0 6 0
-0001ffff 0 3 0 0 7 0

+ 0 - 402
S5443_M/S5443.ip_user_files/mem_init_files/sincoscalues.coe

@@ -1,402 +0,0 @@
-memory_initialization_radix=2;
-memory_initialization_vector=
-011111111111111111000000000000000000,
-011111111111101111000000100000001010,
-011111111110111111000001000000010101,
-011111111101101110000001100000011110,
-011111111011111101000010000000100110,
-011111111001101011000010100000101011,
-011111110110111010000011000000101110,
-011111110011101000000011100000101111,
-011111101111110110000100000000101011,
-011111101011100100000100100000100100,
-011111100110110010000101000000011000,
-011111100001100000000101100000000111,
-011111011011101110000101111111110000,
-011111010101011100000110011111010011,
-011111001110101011000110111110110000,
-011111000111011010000111011110000110,
-011110111111101010000111111101010100,
-011110110111011010001000011100011010,
-011110101110101011001000111011010111,
-011110100101011101001001011010001100,
-011110011011110000001001111000110111,
-011110010001100101001010010111011000,
-011110000110111011001010110101101111,
-011101111011110010001011010011111010,
-011101110000001011001011110001111010,
-011101100100000110001100001111101111,
-011101010111100011001100101101010110,
-011101001010100011001101001010110010,
-011100111101000101001101100111111111,
-011100101111001010001110000100111111,
-011100100000110010001110100001110001,
-011100010001111100001110111110010100,
-011100000010101011001111011010101000,
-011011110010111101001111110110101100,
-011011100010110011010000010010100001,
-011011010010001101010000101110000100,
-011011000001001011010001001001010111,
-011010101111101110010001100100011001,
-011010011101110111010001111111001001,
-011010001011100100010010011001100111,
-011001111000110111010010110011110010,
-011001100101110000010011001101101010,
-011001010010001111010011100111001110,
-011000111110010100010100000000011111,
-011000101010000000010100011001011100,
-011000010101010011010100110010000100,
-011000000000001110010101001010010111,
-010111101010110000010101100010010101,
-010111010100111011010101111001111100,
-010110111110101110010110010001001110,
-010110101000001001010110101000001001,
-010110010001001110010110111110101110,
-010101111001111100010111010100111011,
-010101100010010101010111101010110000,
-010101001010010111011000000000001110,
-010100110010000100011000010101010011,
-010100011001011100011000101010000000,
-010100000000011111011000111110010100,
-010011100111001110011001010010001111,
-010011001101101010011001100101110000,
-010010110011110010011001111000110111,
-010010011001100111011010001011100100,
-010001111111001001011010011101110111,
-010001100100011001011010101111101110,
-010001001001010111011011000001001011,
-010000101110000100011011010010001101,
-010000010010100001011011100010110011,
-001111110110101100011011110010111101,
-001111011010101000011100000010101011,
-001110111110010100011100010001111100,
-001110100001110001011100100000110010,
-001110000100111111011100101111001010,
-001101100111111111011100111101000101,
-001101001010110010011101001010100011,
-001100101101010110011101010111100011,
-001100001111101111011101100100000110,
-001011110001111010011101110000001011,
-001011010011111010011101111011110010,
-001010110101101111011110000110111011,
-001010010111011000011110010001100101,
-001001111000110111011110011011110000,
-001001011010001100011110100101011101,
-001000111011010111011110101110101011,
-001000011100011010011110110111011010,
-000111111101010100011110111111101010,
-000111011110000110011111000111011010,
-000110111110110000011111001110101011,
-000110011111010011011111010101011100,
-000101111111110000011111011011101110,
-000101100000000111011111100001100000,
-000101000000011000011111100110110010,
-000100100000100100011111101011100100,
-000100000000101011011111101111110110,
-000011100000101111011111110011101000,
-000011000000101110011111110110111010,
-000010100000101011011111111001101011,
-000010000000100110011111111011111101,
-000001100000011110011111111101101110,
-000001000000010101011111111110111111,
-000000100000001010011111111111101111,
-000000000000000000011111111111111111,
-111111011111110110011111111111101111,
-111110111111101011011111111110111111,
-111110011111100010011111111101101110,
-111101111111011010011111111011111101,
-111101011111010101011111111001101011,
-111100111111010010011111110110111010,
-111100011111010001011111110011101000,
-111011111111010101011111101111110110,
-111011011111011100011111101011100100,
-111010111111101000011111100110110010,
-111010011111111001011111100001100000,
-111010000000010000011111011011101110,
-111001100000101101011111010101011100,
-111001000001010000011111001110101011,
-111000100001111010011111000111011010,
-111000000010101100011110111111101010,
-110111100011100110011110110111011010,
-110111000100101001011110101110101011,
-110110100101110100011110100101011101,
-110110000111001001011110011011110000,
-110101101000101000011110010001100101,
-110101001010010001011110000110111011,
-110100101100000110011101111011110010,
-110100001110000110011101110000001011,
-110011110000010001011101100100000110,
-110011010010101010011101010111100011,
-110010110101001110011101001010100011,
-110010011000000001011100111101000101,
-110001111011000001011100101111001010,
-110001011110001111011100100000110010,
-110001000001101100011100010001111100,
-110000100101011000011100000010101011,
-110000001001010100011011110010111101,
-101111101101011111011011100010110011,
-101111010001111100011011010010001101,
-101110110110101001011011000001001011,
-101110011011100111011010101111101110,
-101110000000110111011010011101110111,
-101101100110011001011010001011100100,
-101101001100001110011001111000110111,
-101100110010010110011001100101110000,
-101100011000110010011001010010001111,
-101011111111100001011000111110010100,
-101011100110100100011000101010000000,
-101011001101111100011000010101010011,
-101010110101101001011000000000001110,
-101010011101101011010111101010110000,
-101010000110000100010111010100111011,
-101001101110110010010110111110101110,
-101001010111110111010110101000001001,
-101001000001010010010110010001001110,
-101000101011000101010101111001111100,
-101000010101010000010101100010010101,
-100111111111110010010101001010010111,
-100111101010101101010100110010000100,
-100111010110000000010100011001011100,
-100111000001101100010100000000011111,
-100110101101110001010011100111001110,
-100110011010010000010011001101101010,
-100110000111001001010010110011110010,
-100101110100011100010010011001100111,
-100101100010001001010001111111001001,
-100101010000010010010001100100011001,
-100100111110110101010001001001010111,
-100100101101110011010000101110000100,
-100100011101001101010000010010100001,
-100100001101000011001111110110101100,
-100011111101010101001111011010101000,
-100011101110000100001110111110010100,
-100011011111001110001110100001110001,
-100011010000110110001110000100111111,
-100011000010111011001101100111111111,
-100010110101011101001101001010110010,
-100010101000011101001100101101010110,
-100010011011111010001100001111101111,
-100010001111110101001011110001111010,
-100010000100001110001011010011111010,
-100001111001000101001010110101101111,
-100001101110011011001010010111011000,
-100001100100010000001001111000110111,
-100001011010100011001001011010001100,
-100001010001010101001000111011010111,
-100001001000100110001000011100011010,
-100001000000010110000111111101010100,
-100000111000100110000111011110000110,
-100000110001010101000110111110110000,
-100000101010100100000110011111010011,
-100000100100010010000101111111110000,
-100000011110100000000101100000000111,
-100000011001001110000101000000011000,
-100000010100011100000100100000100100,
-100000010000001010000100000000101011,
-100000001100011000000011100000101111,
-100000001001000110000011000000101110,
-100000000110010101000010100000101011,
-100000000100000011000010000000100110,
-100000000010010010000001100000011110,
-100000000001000001000001000000010101,
-100000000000010001000000100000001010,
-100000000000000000000000000000000000,
-100000000000010001111111011111110110,
-100000000001000001111110111111101011,
-100000000010010010111110011111100010,
-100000000100000011111101111111011010,
-100000000110010101111101011111010101,
-100000001001000110111100111111010010,
-100000001100011000111100011111010001,
-100000010000001010111011111111010101,
-100000010100011100111011011111011100,
-100000011001001110111010111111101000,
-100000011110100000111010011111111001,
-100000100100010010111010000000010000,
-100000101010100100111001100000101101,
-100000110001010101111001000001010000,
-100000111000100110111000100001111010,
-100001000000010110111000000010101100,
-100001001000100110110111100011100110,
-100001010001010101110111000100101001,
-100001011010100011110110100101110100,
-100001100100010000110110000111001001,
-100001101110011011110101101000101000,
-100001111001000101110101001010010001,
-100010000100001110110100101100000110,
-100010001111110101110100001110000110,
-100010011011111010110011110000010001,
-100010101000011101110011010010101010,
-100010110101011101110010110101001110,
-100011000010111011110010011000000001,
-100011010000110110110001111011000001,
-100011011111001110110001011110001111,
-100011101110000100110001000001101100,
-100011111101010101110000100101011000,
-100100001101000011110000001001010100,
-100100011101001101101111101101011111,
-100100101101110011101111010001111100,
-100100111110110101101110110110101001,
-100101010000010010101110011011100111,
-100101100010001001101110000000110111,
-100101110100011100101101100110011001,
-100110000111001001101101001100001110,
-100110011010010000101100110010010110,
-100110101101110001101100011000110010,
-100111000001101100101011111111100001,
-100111010110000000101011100110100100,
-100111101010101101101011001101111100,
-100111111111110010101010110101101001,
-101000010101010000101010011101101011,
-101000101011000101101010000110000100,
-101001000001010010101001101110110010,
-101001010111110111101001010111110111,
-101001101110110010101001000001010010,
-101010000110000100101000101011000101,
-101010011101101011101000010101010000,
-101010110101101001100111111111110010,
-101011001101111100100111101010101101,
-101011100110100100100111010110000000,
-101011111111100001100111000001101100,
-101100011000110010100110101101110001,
-101100110010010110100110011010010000,
-101101001100001110100110000111001001,
-101101100110011001100101110100011100,
-101110000000110111100101100010001001,
-101110011011100111100101010000010010,
-101110110110101001100100111110110101,
-101111010001111100100100101101110011,
-101111101101011111100100011101001101,
-110000001001010100100100001101000011,
-110000100101011000100011111101010101,
-110001000001101100100011101110000100,
-110001011110001111100011011111001110,
-110001111011000001100011010000110110,
-110010011000000001100011000010111011,
-110010110101001110100010110101011101,
-110011010010101010100010101000011101,
-110011110000010001100010011011111010,
-110100001110000110100010001111110101,
-110100101100000110100010000100001110,
-110101001010010001100001111001000101,
-110101101000101000100001101110011011,
-110110000111001001100001100100010000,
-110110100101110100100001011010100011,
-110111000100101001100001010001010101,
-110111100011100110100001001000100110,
-111000000010101100100001000000010110,
-111000100001111010100000111000100110,
-111001000001010000100000110001010101,
-111001100000101101100000101010100100,
-111010000000010000100000100100010010,
-111010011111111001100000011110100000,
-111010111111101000100000011001001110,
-111011011111011100100000010100011100,
-111011111111010101100000010000001010,
-111100011111010001100000001100011000,
-111100111111010010100000001001000110,
-111101011111010101100000000110010101,
-111101111111011010100000000100000011,
-111110011111100010100000000010010010,
-111110111111101011100000000001000001,
-111111011111110110100000000000010001,
-000000000000000000100000000000000000,
-000000100000001010100000000000010001,
-000001000000010101100000000001000001,
-000001100000011110100000000010010010,
-000010000000100110100000000100000011,
-000010100000101011100000000110010101,
-000011000000101110100000001001000110,
-000011100000101111100000001100011000,
-000100000000101011100000010000001010,
-000100100000100100100000010100011100,
-000101000000011000100000011001001110,
-000101100000000111100000011110100000,
-000101111111110000100000100100010010,
-000110011111010011100000101010100100,
-000110111110110000100000110001010101,
-000111011110000110100000111000100110,
-000111111101010100100001000000010110,
-001000011100011010100001001000100110,
-001000111011010111100001010001010101,
-001001011010001100100001011010100011,
-001001111000110111100001100100010000,
-001010010111011000100001101110011011,
-001010110101101111100001111001000101,
-001011010011111010100010000100001110,
-001011110001111010100010001111110101,
-001100001111101111100010011011111010,
-001100101101010110100010101000011101,
-001101001010110010100010110101011101,
-001101100111111111100011000010111011,
-001110000100111111100011010000110110,
-001110100001110001100011011111001110,
-001110111110010100100011101110000100,
-001111011010101000100011111101010101,
-001111110110101100100100001101000011,
-010000010010100001100100011101001101,
-010000101110000100100100101101110011,
-010001001001010111100100111110110101,
-010001100100011001100101010000010010,
-010001111111001001100101100010001001,
-010010011001100111100101110100011100,
-010010110011110010100110000111001001,
-010011001101101010100110011010010000,
-010011100111001110100110101101110001,
-010100000000011111100111000001101100,
-010100011001011100100111010110000000,
-010100110010000100100111101010101101,
-010101001010010111100111111111110010,
-010101100010010101101000010101010000,
-010101111001111100101000101011000101,
-010110010001001110101001000001010010,
-010110101000001001101001010111110111,
-010110111110101110101001101110110010,
-010111010100111011101010000110000100,
-010111101010110000101010011101101011,
-011000000000001110101010110101101001,
-011000010101010011101011001101111100,
-011000101010000000101011100110100100,
-011000111110010100101011111111100001,
-011001010010001111101100011000110010,
-011001100101110000101100110010010110,
-011001111000110111101101001100001110,
-011010001011100100101101100110011001,
-011010011101110111101110000000110111,
-011010101111101110101110011011100111,
-011011000001001011101110110110101001,
-011011010010001101101111010001111100,
-011011100010110011101111101101011111,
-011011110010111101110000001001010100,
-011100000010101011110000100101011000,
-011100010001111100110001000001101100,
-011100100000110010110001011110001111,
-011100101111001010110001111011000001,
-011100111101000101110010011000000001,
-011101001010100011110010110101001110,
-011101010111100011110011010010101010,
-011101100100000110110011110000010001,
-011101110000001011110100001110000110,
-011101111011110010110100101100000110,
-011110000110111011110101001010010001,
-011110010001100101110101101000101000,
-011110011011110000110110000111001001,
-011110100101011101110110100101110100,
-011110101110101011110111000100101001,
-011110110111011010110111100011100110,
-011110111111101010111000000010101100,
-011111000111011010111000100001111010,
-011111001110101011111001000001010000,
-011111010101011100111001100000101101,
-011111011011101110111010000000010000,
-011111100001100000111010011111111001,
-011111100110110010111010111111101000,
-011111101011100100111011011111011100,
-011111101111110110111011111111010101,
-011111110011101000111100011111010001,
-011111110110111010111100111111010010,
-011111111001101011111101011111010101,
-011111111011111101111101111111011010,
-011111111101101110111110011111100010,
-011111111110111111111110111111101011,
-011111111111101111111111011111110110;

+ 0 - 83
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/README.txt

@@ -1,83 +0,0 @@
-################################################################################
-# Vivado (TM) v2020.2 (64-bit)
-#
-# README.txt: Please read the sections below to understand the steps required
-#             to simulate the design for a simulator, the directory structure
-#             and the generated exported files.
-#
-################################################################################
-
-1. Simulate Design
-
-To simulate design, cd to the simulator directory and execute the script.
-
-For example:-
-
-% cd questa
-% ./top.sh
-
-The export simulation flow requires the Xilinx pre-compiled simulation library
-components for the target simulator. These components are referred using the
-'-lib_map_path' switch. If this switch is specified, then the export simulation
-will automatically set this library path in the generated script and update,
-copy the simulator setup file(s) in the exported directory.
-
-If '-lib_map_path' is not specified, then the pre-compiled simulation library
-information will not be included in the exported scripts and that may cause
-simulation errors when running this script. Alternatively, you can provide the
-library information using this switch while executing the generated script.
-
-For example:-
-
-% ./top.sh -lib_map_path /design/questa/clibs
-
-Please refer to the generated script header 'Prerequisite' section for more details.
-
-2. Directory Structure
-
-By default, if the -directory switch is not specified, export_simulation will
-create the following directory structure:-
-
-<current_working_directory>/export_sim/<simulator>
-
-For example, if the current working directory is /tmp/test, export_simulation
-will create the following directory path:-
-
-/tmp/test/export_sim/questa
-
-If -directory switch is specified, export_simulation will create a simulator
-sub-directory under the specified directory path.
-
-For example, 'export_simulation -directory /tmp/test/my_test_area/func_sim'
-command will create the following directory:-
-
-/tmp/test/my_test_area/func_sim/questa
-
-By default, if -simulator is not specified, export_simulation will create a
-simulator sub-directory for each simulator and export the files for each simulator
-in this sub-directory respectively.
-
-IMPORTANT: Please note that the simulation library path must be specified manually
-in the generated script for the respective simulator. Please refer to the generated
-script header 'Prerequisite' section for more details.
-
-3. Exported script and files
-
-Export simulation will create the driver shell script, setup files and copy the
-design sources in the output directory path.
-
-By default, when the -script_name switch is not specified, export_simulation will
-create the following script name:-
-
-<simulation_top>.sh  (Unix)
-When exporting the files for an IP using the -of_objects switch, export_simulation
-will create the following script name:-
-
-<ip-name>.sh  (Unix)
-Export simulation will create the setup files for the target simulator specified
-with the -simulator switch.
-
-For example, if the target simulator is "ies", export_simulation will create the
-'cds.lib', 'hdl.var' and design library diectories and mappings in the 'cds.lib'
-file.
-

+ 0 - 153
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/MeasDataFifo.sh

@@ -1,153 +0,0 @@
-#!/bin/bash -f
-#*********************************************************************************************************
-# Vivado (TM) v2020.2 (64-bit)
-#
-# Filename    : MeasDataFifo.sh
-# Simulator   : Aldec Active-HDL Simulator
-# Description : Simulation script for compiling, elaborating and verifying the project source files.
-#               The script will automatically create the design libraries sub-directories in the run
-#               directory, add the library logical mappings in the simulator setup file, create default
-#               'do/prj' file, execute compilation, elaboration and simulation steps.
-#
-# Generated by Vivado on Wed May 03 12:25:18 +0700 2023
-# SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020
-#
-# Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. 
-#
-# usage: MeasDataFifo.sh [-help]
-# usage: MeasDataFifo.sh [-lib_map_path]
-# usage: MeasDataFifo.sh [-noclean_files]
-# usage: MeasDataFifo.sh [-reset_run]
-#
-# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
-# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
-# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
-# that points to these libraries and rerun export_simulation. For more information about this switch please
-# type 'export_simulation -help' in the Tcl shell.
-#
-# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
-# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
-# executing this script. Please type 'MeasDataFifo.sh -help' for more information.
-#
-# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
-#
-#*********************************************************************************************************
-
-
-# Script info
-echo -e "MeasDataFifo.sh - Script generated by export_simulation (Vivado v2020.2 (64-bit)-id)\n"
-
-# Main steps
-run()
-{
-  check_args $# $1
-  setup $1 $2
-  compile
-  simulate
-}
-
-# RUN_STEP: <compile>
-compile()
-{
-  # Compile design files
-  source compile.do 2>&1 | tee -a compile.log
-
-}
-
-# RUN_STEP: <simulate>
-simulate()
-{
-  runvsimsa -l simulate.log -do "do {simulate.do}"
-}
-
-# STEP: setup
-setup()
-{
-  case $1 in
-    "-lib_map_path" )
-      if [[ ($2 == "") ]]; then
-        echo -e "ERROR: Simulation library directory path not specified (type \"./MeasDataFifo.sh -help\" for more information)\n"
-        exit 1
-      fi
-     map_setup_file $2
-    ;;
-    "-reset_run" )
-      reset_run
-      echo -e "INFO: Simulation run files deleted.\n"
-      exit 0
-    ;;
-    "-noclean_files" )
-      # do not remove previous data
-    ;;
-    * )
-     map_setup_file $2
-  esac
-
-  # Add any setup/initialization commands here:-
-
-  # <user specific commands>
-
-}
-
-# Map library.cfg file
-map_setup_file()
-{
-  file="library.cfg"
-  if [[ ($1 != "") ]]; then
-    lib_map_path="$1"
-  else
-    lib_map_path="C:/Users/work/Desktop/S5243PM_REPO/S5443_M/S5443.cache/compile_simlib/activehdl"
-  fi
-  if [[ ($lib_map_path != "") ]]; then
-    src_file="$lib_map_path/$file"
-    if [[ -e $src_file ]]; then
-      vmap -link $lib_map_path
-    fi
-  fi
-}
-
-# Delete generated data from the previous run
-reset_run()
-{
-  files_to_remove=(compile.log elaboration.log simulate.log dataset.asdb work activehdl)
-  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
-    file="${files_to_remove[i]}"
-    if [[ -e $file ]]; then
-      rm -rf $file
-    fi
-  done
-}
-
-# Check command line arguments
-check_args()
-{
-  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
-    echo -e "ERROR: Unknown option specified '$2' (type \"./MeasDataFifo.sh -help\" for more information)\n"
-    exit 1
-  fi
-
-  if [[ ($2 == "-help" || $2 == "-h") ]]; then
-    usage
-  fi
-}
-
-# Script usage
-usage()
-{
-  msg="Usage: MeasDataFifo.sh [-help]\n\
-Usage: MeasDataFifo.sh [-lib_map_path]\n\
-Usage: MeasDataFifo.sh [-reset_run]\n\
-Usage: MeasDataFifo.sh [-noclean_files]\n\n\
-[-help] -- Print help information for this script\n\n\
-[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
-using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
-[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
-from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
--noclean_files switch.\n\n\
-[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
-  echo -e $msg
-  exit 1
-}
-
-# Launch script
-run $1 $2

+ 0 - 0
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/MeasDataFifo.udo


+ 0 - 49
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/README.txt

@@ -1,49 +0,0 @@
-################################################################################
-# Vivado (TM) v2020.2 (64-bit)
-#
-# README.txt: Please read the sections below to understand the steps required to
-#             run the exported script and information about the source files.
-#
-# Generated by export_simulation on Wed May 03 12:25:18 +0700 2023
-#
-################################################################################
-
-1. How to run the generated simulation script:-
-
-From the shell prompt in the current directory, issue the following command:-
-
-./MeasDataFifo.sh
-
-This command will launch the 'compile', 'elaborate' and 'simulate' functions
-implemented in the script file for the 3-step flow. These functions are called
-from the main 'run' function in the script file.
-
-The 'run' function first executes the 'setup' function, the purpose of which is to
-create simulator specific setup files, create design library mappings and library
-directories and copy 'glbl.v' from the Vivado software install location into the
-current directory.
-
-The 'setup' function is also used for removing the simulator generated data in
-order to reset the current directory to the original state when export_simulation
-was launched from Vivado. This generated data can be removed by specifying the
-'-reset_run' switch to the './MeasDataFifo.sh' script.
-
-./MeasDataFifo.sh -reset_run
-
-To keep the generated data from the previous run but regenerate the setup files and
-library directories, use the '-noclean_files' switch.
-
-./MeasDataFifo.sh -noclean_files
-
-For more information on the script, please type './MeasDataFifo.sh -help'.
-
-2. Additional design information files:-
-
-export_simulation generates following additional file that can be used for fetching
-the design files information or for integrating with external custom scripts.
-
-Name   : file_info.txt
-Purpose: This file contains detail design file information based on the compile order
-         when export_simulation was executed from Vivado. The file contains information
-         about the file type, name, whether it is part of the IP, associated library
-         and the file path information.

+ 0 - 22
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/compile.do

@@ -1,22 +0,0 @@
-vlib work
-vlib activehdl
-
-vlib activehdl/xpm
-vlib activehdl/xil_defaultlib
-
-vmap xpm activehdl/xpm
-vmap xil_defaultlib activehdl/xil_defaultlib
-
-vlog -work xpm  -sv2k12 \
-"C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
-"C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \
-
-vcom -work xpm -93 \
-"C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd" \
-
-vlog -work xil_defaultlib  -v2k5 \
-"../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v" \
-
-vlog -work xil_defaultlib \
-"glbl.v"
-

+ 0 - 5
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/file_info.txt

@@ -1,5 +0,0 @@
-xpm_cdc.sv,systemverilog,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,
-xpm_memory.sv,systemverilog,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv,
-xpm_VCOMP.vhd,vhdl,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd,
-MeasDataFifo_sim_netlist.v,verilog,xil_defaultlib,../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v,
-glbl.v,Verilog,xil_defaultlib,glbl.v

+ 0 - 84
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/glbl.v

@@ -1,84 +0,0 @@
-// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
-`ifndef GLBL
-`define GLBL
-`timescale  1 ps / 1 ps
-
-module glbl ();
-
-    parameter ROC_WIDTH = 100000;
-    parameter TOC_WIDTH = 0;
-    parameter GRES_WIDTH = 10000;
-    parameter GRES_START = 10000;
-
-//--------   STARTUP Globals --------------
-    wire GSR;
-    wire GTS;
-    wire GWE;
-    wire PRLD;
-    wire GRESTORE;
-    tri1 p_up_tmp;
-    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
-
-    wire PROGB_GLBL;
-    wire CCLKO_GLBL;
-    wire FCSBO_GLBL;
-    wire [3:0] DO_GLBL;
-    wire [3:0] DI_GLBL;
-   
-    reg GSR_int;
-    reg GTS_int;
-    reg PRLD_int;
-    reg GRESTORE_int;
-
-//--------   JTAG Globals --------------
-    wire JTAG_TDO_GLBL;
-    wire JTAG_TCK_GLBL;
-    wire JTAG_TDI_GLBL;
-    wire JTAG_TMS_GLBL;
-    wire JTAG_TRST_GLBL;
-
-    reg JTAG_CAPTURE_GLBL;
-    reg JTAG_RESET_GLBL;
-    reg JTAG_SHIFT_GLBL;
-    reg JTAG_UPDATE_GLBL;
-    reg JTAG_RUNTEST_GLBL;
-
-    reg JTAG_SEL1_GLBL = 0;
-    reg JTAG_SEL2_GLBL = 0 ;
-    reg JTAG_SEL3_GLBL = 0;
-    reg JTAG_SEL4_GLBL = 0;
-
-    reg JTAG_USER_TDO1_GLBL = 1'bz;
-    reg JTAG_USER_TDO2_GLBL = 1'bz;
-    reg JTAG_USER_TDO3_GLBL = 1'bz;
-    reg JTAG_USER_TDO4_GLBL = 1'bz;
-
-    assign (strong1, weak0) GSR = GSR_int;
-    assign (strong1, weak0) GTS = GTS_int;
-    assign (weak1, weak0) PRLD = PRLD_int;
-    assign (strong1, weak0) GRESTORE = GRESTORE_int;
-
-    initial begin
-	GSR_int = 1'b1;
-	PRLD_int = 1'b1;
-	#(ROC_WIDTH)
-	GSR_int = 1'b0;
-	PRLD_int = 1'b0;
-    end
-
-    initial begin
-	GTS_int = 1'b1;
-	#(TOC_WIDTH)
-	GTS_int = 1'b0;
-    end
-
-    initial begin 
-	GRESTORE_int = 1'b0;
-	#(GRES_START);
-	GRESTORE_int = 1'b1;
-	#(GRES_WIDTH);
-	GRESTORE_int = 1'b0;
-    end
-
-endmodule
-`endif

+ 0 - 17
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/simulate.do

@@ -1,17 +0,0 @@
-onbreak {quit -force}
-onerror {quit -force}
-
-asim +access +r +m+MeasDataFifo -L xpm -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -O5 xil_defaultlib.MeasDataFifo xil_defaultlib.glbl
-
-do {wave.do}
-
-view wave
-view structure
-
-do {MeasDataFifo.udo}
-
-run -all
-
-endsim
-
-quit -force

+ 0 - 2
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/activehdl/wave.do

@@ -1,2 +0,0 @@
-add wave *
-add wave /glbl/GSR

+ 0 - 175
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/ies/MeasDataFifo.sh

@@ -1,175 +0,0 @@
-#!/bin/bash -f
-#*********************************************************************************************************
-# Vivado (TM) v2020.2 (64-bit)
-#
-# Filename    : MeasDataFifo.sh
-# Simulator   : Cadence Incisive Enterprise Simulator
-# Description : Simulation script for compiling, elaborating and verifying the project source files.
-#               The script will automatically create the design libraries sub-directories in the run
-#               directory, add the library logical mappings in the simulator setup file, create default
-#               'do/prj' file, execute compilation, elaboration and simulation steps.
-#
-# Generated by Vivado on Wed May 03 12:25:18 +0700 2023
-# SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020
-#
-# Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. 
-#
-# usage: MeasDataFifo.sh [-help]
-# usage: MeasDataFifo.sh [-lib_map_path]
-# usage: MeasDataFifo.sh [-noclean_files]
-# usage: MeasDataFifo.sh [-reset_run]
-#
-# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
-# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
-# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
-# that points to these libraries and rerun export_simulation. For more information about this switch please
-# type 'export_simulation -help' in the Tcl shell.
-#
-# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
-# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
-# executing this script. Please type 'MeasDataFifo.sh -help' for more information.
-#
-# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
-#
-#*********************************************************************************************************
-
-# Directory path for design sources and include directories (if any) wrt this path
-ref_dir="."
-
-# Override directory with 'export_sim_ref_dir' env path value if set in the shell
-if [[ (! -z "$export_sim_ref_dir") && ($export_sim_ref_dir != "") ]]; then
-  ref_dir="$export_sim_ref_dir"
-fi
-
-# Set the compiled library directory
-ref_lib_dir="."
-
-# Command line options
-irun_opts="-64bit -v93 -relax -access +rwc -namemap_mixgen"
-
-# Design libraries
-design_libs=(xpm xil_defaultlib)
-
-# Simulation root library directory
-sim_lib_dir="ies_lib"
-
-# Script info
-echo -e "MeasDataFifo.sh - Script generated by export_simulation (Vivado v2020.2 (64-bit)-id)\n"
-
-# Main steps
-run()
-{
-  check_args $# $1
-  setup $1 $2
-  execute
-}
-
-# RUN_STEP: <execute>
-execute()
-{
-  irun $irun_opts \
-       -reflib "$ref_lib_dir/unisim:unisim" \
-       -reflib "$ref_lib_dir/unisims_ver:unisims_ver" \
-       -reflib "$ref_lib_dir/secureip:secureip" \
-       -reflib "$ref_lib_dir/unimacro:unimacro" \
-       -reflib "$ref_lib_dir/unimacro_ver:unimacro_ver" \
-       -top xil_defaultlib.MeasDataFifo \
-       -f run.f \
-       -top glbl \
-       glbl.v
-}
-
-# STEP: setup
-setup()
-{
-  case $1 in
-    "-lib_map_path" )
-      if [[ ($2 == "") ]]; then
-        echo -e "ERROR: Simulation library directory path not specified (type \"./MeasDataFifo.sh -help\" for more information)\n"
-        exit 1
-      else
-        ref_lib_dir=$2
-      fi
-    ;;
-    "-reset_run" )
-      reset_run
-      echo -e "INFO: Simulation run files deleted.\n"
-      exit 0
-    ;;
-    "-noclean_files" )
-      # do not remove previous data
-    ;;
-    * )
-  esac
-
-  create_lib_dir
-
-  # Add any setup/initialization commands here:-
-
-  # <user specific commands>
-
-}
-
-# Create design library directory paths
-create_lib_dir()
-{
-  if [[ -e $sim_lib_dir ]]; then
-    rm -rf $sim_lib_dir
-  fi
-
-  for (( i=0; i<${#design_libs[*]}; i++ )); do
-    lib="${design_libs[i]}"
-    lib_dir="$sim_lib_dir/$lib"
-    if [[ ! -e $lib_dir ]]; then
-      mkdir -p $lib_dir
-    fi
-  done
-}
-
-# Delete generated data from the previous run
-reset_run()
-{
-  files_to_remove=(ncsim.key irun.key irun.log waves.shm irun.history .simvision INCA_libs)
-  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
-    file="${files_to_remove[i]}"
-    if [[ -e $file ]]; then
-      rm -rf $file
-    fi
-  done
-
-  create_lib_dir
-}
-
-# Check command line arguments
-check_args()
-{
-  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
-    echo -e "ERROR: Unknown option specified '$2' (type \"./MeasDataFifo.sh -help\" for more information)\n"
-    exit 1
-  fi
-
-  if [[ ($2 == "-help" || $2 == "-h") ]]; then
-    usage
-  fi
-}
-
-# Script usage
-usage()
-{
-  msg="Usage: MeasDataFifo.sh [-help]\n\
-Usage: MeasDataFifo.sh [-lib_map_path]\n\
-Usage: MeasDataFifo.sh [-reset_run]\n\
-Usage: MeasDataFifo.sh [-noclean_files]\n\n\
-[-help] -- Print help information for this script\n\n\
-[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
-using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
-[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
-from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
--noclean_files switch.\n\n\
-[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
-  echo -e $msg
-  exit 1
-}
-
-# Launch script
-run $1 $2

+ 0 - 48
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/ies/README.txt

@@ -1,48 +0,0 @@
-################################################################################
-# Vivado (TM) v2020.2 (64-bit)
-#
-# README.txt: Please read the sections below to understand the steps required to
-#             run the exported script and information about the source files.
-#
-# Generated by export_simulation on Wed May 03 12:25:18 +0700 2023
-#
-################################################################################
-
-1. How to run the generated simulation script:-
-
-From the shell prompt in the current directory, issue the following command:-
-
-./MeasDataFifo.sh
-
-This command will launch the 'execute' function for the single-step flow. This
-function is called from the main 'run' function in the script file.
-
-The 'run' function first executes the 'setup' function, the purpose of which is to
-create simulator specific setup files, create design library mappings and library
-directories and copy 'glbl.v' from the Vivado software install location into the
-current directory.
-
-The 'setup' function is also used for removing the simulator generated data in
-order to reset the current directory to the original state when export_simulation
-was launched from Vivado. This generated data can be removed by specifying the
-'-reset_run' switch to the './MeasDataFifo.sh' script.
-
-./MeasDataFifo.sh -reset_run
-
-To keep the generated data from the previous run but regenerate the setup files and
-library directories, use the '-noclean_files' switch.
-
-./MeasDataFifo.sh -noclean_files
-
-For more information on the script, please type './MeasDataFifo.sh -help'.
-
-2. Additional design information files:-
-
-export_simulation generates following additional file that can be used for fetching
-the design files information or for integrating with external custom scripts.
-
-Name   : file_info.txt
-Purpose: This file contains detail design file information based on the compile order
-         when export_simulation was executed from Vivado. The file contains information
-         about the file type, name, whether it is part of the IP, associated library
-         and the file path information.

+ 0 - 5
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/ies/file_info.txt

@@ -1,5 +0,0 @@
-xpm_cdc.sv,systemverilog,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,
-xpm_memory.sv,systemverilog,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv,
-xpm_VCOMP.vhd,vhdl,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd,
-MeasDataFifo_sim_netlist.v,verilog,xil_defaultlib,../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v,
-glbl.v,Verilog,xil_defaultlib,glbl.v

+ 0 - 84
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/ies/glbl.v

@@ -1,84 +0,0 @@
-// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
-`ifndef GLBL
-`define GLBL
-`timescale  1 ps / 1 ps
-
-module glbl ();
-
-    parameter ROC_WIDTH = 100000;
-    parameter TOC_WIDTH = 0;
-    parameter GRES_WIDTH = 10000;
-    parameter GRES_START = 10000;
-
-//--------   STARTUP Globals --------------
-    wire GSR;
-    wire GTS;
-    wire GWE;
-    wire PRLD;
-    wire GRESTORE;
-    tri1 p_up_tmp;
-    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
-
-    wire PROGB_GLBL;
-    wire CCLKO_GLBL;
-    wire FCSBO_GLBL;
-    wire [3:0] DO_GLBL;
-    wire [3:0] DI_GLBL;
-   
-    reg GSR_int;
-    reg GTS_int;
-    reg PRLD_int;
-    reg GRESTORE_int;
-
-//--------   JTAG Globals --------------
-    wire JTAG_TDO_GLBL;
-    wire JTAG_TCK_GLBL;
-    wire JTAG_TDI_GLBL;
-    wire JTAG_TMS_GLBL;
-    wire JTAG_TRST_GLBL;
-
-    reg JTAG_CAPTURE_GLBL;
-    reg JTAG_RESET_GLBL;
-    reg JTAG_SHIFT_GLBL;
-    reg JTAG_UPDATE_GLBL;
-    reg JTAG_RUNTEST_GLBL;
-
-    reg JTAG_SEL1_GLBL = 0;
-    reg JTAG_SEL2_GLBL = 0 ;
-    reg JTAG_SEL3_GLBL = 0;
-    reg JTAG_SEL4_GLBL = 0;
-
-    reg JTAG_USER_TDO1_GLBL = 1'bz;
-    reg JTAG_USER_TDO2_GLBL = 1'bz;
-    reg JTAG_USER_TDO3_GLBL = 1'bz;
-    reg JTAG_USER_TDO4_GLBL = 1'bz;
-
-    assign (strong1, weak0) GSR = GSR_int;
-    assign (strong1, weak0) GTS = GTS_int;
-    assign (weak1, weak0) PRLD = PRLD_int;
-    assign (strong1, weak0) GRESTORE = GRESTORE_int;
-
-    initial begin
-	GSR_int = 1'b1;
-	PRLD_int = 1'b1;
-	#(ROC_WIDTH)
-	GSR_int = 1'b0;
-	PRLD_int = 1'b0;
-    end
-
-    initial begin
-	GTS_int = 1'b1;
-	#(TOC_WIDTH)
-	GTS_int = 1'b0;
-    end
-
-    initial begin 
-	GRESTORE_int = 1'b0;
-	#(GRES_START);
-	GRESTORE_int = 1'b1;
-	#(GRES_WIDTH);
-	GRESTORE_int = 1'b0;
-    end
-
-endmodule
-`endif

+ 0 - 14
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/ies/run.f

@@ -1,14 +0,0 @@
--makelib ies_lib/xpm -sv \
-  "C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
-  "C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \
--endlib
--makelib ies_lib/xpm \
-  "C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd" \
--endlib
--makelib ies_lib/xil_defaultlib \
-  "../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v" \
--endlib
--makelib ies_lib/xil_defaultlib \
-  glbl.v
--endlib
-

+ 0 - 167
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/MeasDataFifo.sh

@@ -1,167 +0,0 @@
-#!/bin/bash -f
-#*********************************************************************************************************
-# Vivado (TM) v2020.2 (64-bit)
-#
-# Filename    : MeasDataFifo.sh
-# Simulator   : Mentor Graphics ModelSim Simulator
-# Description : Simulation script for compiling, elaborating and verifying the project source files.
-#               The script will automatically create the design libraries sub-directories in the run
-#               directory, add the library logical mappings in the simulator setup file, create default
-#               'do/prj' file, execute compilation, elaboration and simulation steps.
-#
-# Generated by Vivado on Wed May 03 12:25:18 +0700 2023
-# SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020
-#
-# Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. 
-#
-# usage: MeasDataFifo.sh [-help]
-# usage: MeasDataFifo.sh [-lib_map_path]
-# usage: MeasDataFifo.sh [-noclean_files]
-# usage: MeasDataFifo.sh [-reset_run]
-#
-# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
-# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
-# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
-# that points to these libraries and rerun export_simulation. For more information about this switch please
-# type 'export_simulation -help' in the Tcl shell.
-#
-# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
-# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
-# executing this script. Please type 'MeasDataFifo.sh -help' for more information.
-#
-# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
-#
-#*********************************************************************************************************
-
-
-# Script info
-echo -e "MeasDataFifo.sh - Script generated by export_simulation (Vivado v2020.2 (64-bit)-id)\n"
-
-# Main steps
-run()
-{
-  check_args $# $1
-  setup $1 $2
-  compile
-  simulate
-}
-
-# RUN_STEP: <compile>
-compile()
-{
-  # Compile design files
-  source compile.do 2>&1 | tee -a compile.log
-
-}
-
-# RUN_STEP: <simulate>
-simulate()
-{
-  vsim  -c -do "do {simulate.do}" -l simulate.log
-}
-
-# STEP: setup
-setup()
-{
-  case $1 in
-    "-lib_map_path" )
-      if [[ ($2 == "") ]]; then
-        echo -e "ERROR: Simulation library directory path not specified (type \"./MeasDataFifo.sh -help\" for more information)\n"
-        exit 1
-      fi
-     copy_setup_file $2
-    ;;
-    "-reset_run" )
-      reset_run
-      echo -e "INFO: Simulation run files deleted.\n"
-      exit 0
-    ;;
-    "-noclean_files" )
-      # do not remove previous data
-    ;;
-    * )
-     copy_setup_file $2
-  esac
-
-  create_lib_dir
-
-  # Add any setup/initialization commands here:-
-
-  # <user specific commands>
-
-}
-
-# Copy modelsim.ini file
-copy_setup_file()
-{
-  file="modelsim.ini"
-  if [[ ($1 != "") ]]; then
-    lib_map_path="$1"
-  else
-    lib_map_path="C:/Users/work/Desktop/S5243PM_REPO/S5443_M/S5443.cache/compile_simlib/modelsim"
-  fi
-  if [[ ($lib_map_path != "") ]]; then
-    src_file="$lib_map_path/$file"
-    cp $src_file .
-  fi
-}
-
-# Create design library directory
-create_lib_dir()
-{
-  lib_dir="modelsim_lib"
-  if [[ -e $lib_dir ]]; then
-    rm -rf $lib_dir
-  fi
-
-  mkdir $lib_dir
-
-}
-
-# Delete generated data from the previous run
-reset_run()
-{
-  files_to_remove=(compile.log elaborate.log simulate.log vsim.wlf modelsim_lib)
-  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
-    file="${files_to_remove[i]}"
-    if [[ -e $file ]]; then
-      rm -rf $file
-    fi
-  done
-
-  create_lib_dir
-}
-
-# Check command line arguments
-check_args()
-{
-  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
-    echo -e "ERROR: Unknown option specified '$2' (type \"./MeasDataFifo.sh -help\" for more information)\n"
-    exit 1
-  fi
-
-  if [[ ($2 == "-help" || $2 == "-h") ]]; then
-    usage
-  fi
-}
-
-# Script usage
-usage()
-{
-  msg="Usage: MeasDataFifo.sh [-help]\n\
-Usage: MeasDataFifo.sh [-lib_map_path]\n\
-Usage: MeasDataFifo.sh [-reset_run]\n\
-Usage: MeasDataFifo.sh [-noclean_files]\n\n\
-[-help] -- Print help information for this script\n\n\
-[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
-using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
-[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
-from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
--noclean_files switch.\n\n\
-[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
-  echo -e $msg
-  exit 1
-}
-
-# Launch script
-run $1 $2

+ 0 - 0
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/MeasDataFifo.udo


+ 0 - 49
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/README.txt

@@ -1,49 +0,0 @@
-################################################################################
-# Vivado (TM) v2020.2 (64-bit)
-#
-# README.txt: Please read the sections below to understand the steps required to
-#             run the exported script and information about the source files.
-#
-# Generated by export_simulation on Wed May 03 12:25:18 +0700 2023
-#
-################################################################################
-
-1. How to run the generated simulation script:-
-
-From the shell prompt in the current directory, issue the following command:-
-
-./MeasDataFifo.sh
-
-This command will launch the 'compile', 'elaborate' and 'simulate' functions
-implemented in the script file for the 3-step flow. These functions are called
-from the main 'run' function in the script file.
-
-The 'run' function first executes the 'setup' function, the purpose of which is to
-create simulator specific setup files, create design library mappings and library
-directories and copy 'glbl.v' from the Vivado software install location into the
-current directory.
-
-The 'setup' function is also used for removing the simulator generated data in
-order to reset the current directory to the original state when export_simulation
-was launched from Vivado. This generated data can be removed by specifying the
-'-reset_run' switch to the './MeasDataFifo.sh' script.
-
-./MeasDataFifo.sh -reset_run
-
-To keep the generated data from the previous run but regenerate the setup files and
-library directories, use the '-noclean_files' switch.
-
-./MeasDataFifo.sh -noclean_files
-
-For more information on the script, please type './MeasDataFifo.sh -help'.
-
-2. Additional design information files:-
-
-export_simulation generates following additional file that can be used for fetching
-the design files information or for integrating with external custom scripts.
-
-Name   : file_info.txt
-Purpose: This file contains detail design file information based on the compile order
-         when export_simulation was executed from Vivado. The file contains information
-         about the file type, name, whether it is part of the IP, associated library
-         and the file path information.

+ 0 - 14
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/compile.do

@@ -1,14 +0,0 @@
-vlib modelsim_lib/work
-vlib modelsim_lib/msim
-
-vlib modelsim_lib/msim/xil_defaultlib
-
-vmap xil_defaultlib modelsim_lib/msim/xil_defaultlib
-
-vlog -work xil_defaultlib  -incr \
-"../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v" \
-
-
-vlog -work xil_defaultlib \
-"glbl.v"
-

+ 0 - 2
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/file_info.txt

@@ -1,2 +0,0 @@
-MeasDataFifo_sim_netlist.v,verilog,xil_defaultlib,../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v,
-glbl.v,Verilog,xil_defaultlib,glbl.v

+ 0 - 84
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/glbl.v

@@ -1,84 +0,0 @@
-// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
-`ifndef GLBL
-`define GLBL
-`timescale  1 ps / 1 ps
-
-module glbl ();
-
-    parameter ROC_WIDTH = 100000;
-    parameter TOC_WIDTH = 0;
-    parameter GRES_WIDTH = 10000;
-    parameter GRES_START = 10000;
-
-//--------   STARTUP Globals --------------
-    wire GSR;
-    wire GTS;
-    wire GWE;
-    wire PRLD;
-    wire GRESTORE;
-    tri1 p_up_tmp;
-    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
-
-    wire PROGB_GLBL;
-    wire CCLKO_GLBL;
-    wire FCSBO_GLBL;
-    wire [3:0] DO_GLBL;
-    wire [3:0] DI_GLBL;
-   
-    reg GSR_int;
-    reg GTS_int;
-    reg PRLD_int;
-    reg GRESTORE_int;
-
-//--------   JTAG Globals --------------
-    wire JTAG_TDO_GLBL;
-    wire JTAG_TCK_GLBL;
-    wire JTAG_TDI_GLBL;
-    wire JTAG_TMS_GLBL;
-    wire JTAG_TRST_GLBL;
-
-    reg JTAG_CAPTURE_GLBL;
-    reg JTAG_RESET_GLBL;
-    reg JTAG_SHIFT_GLBL;
-    reg JTAG_UPDATE_GLBL;
-    reg JTAG_RUNTEST_GLBL;
-
-    reg JTAG_SEL1_GLBL = 0;
-    reg JTAG_SEL2_GLBL = 0 ;
-    reg JTAG_SEL3_GLBL = 0;
-    reg JTAG_SEL4_GLBL = 0;
-
-    reg JTAG_USER_TDO1_GLBL = 1'bz;
-    reg JTAG_USER_TDO2_GLBL = 1'bz;
-    reg JTAG_USER_TDO3_GLBL = 1'bz;
-    reg JTAG_USER_TDO4_GLBL = 1'bz;
-
-    assign (strong1, weak0) GSR = GSR_int;
-    assign (strong1, weak0) GTS = GTS_int;
-    assign (weak1, weak0) PRLD = PRLD_int;
-    assign (strong1, weak0) GRESTORE = GRESTORE_int;
-
-    initial begin
-	GSR_int = 1'b1;
-	PRLD_int = 1'b1;
-	#(ROC_WIDTH)
-	GSR_int = 1'b0;
-	PRLD_int = 1'b0;
-    end
-
-    initial begin
-	GTS_int = 1'b1;
-	#(TOC_WIDTH)
-	GTS_int = 1'b0;
-    end
-
-    initial begin 
-	GRESTORE_int = 1'b0;
-	#(GRES_START);
-	GRESTORE_int = 1'b1;
-	#(GRES_WIDTH);
-	GRESTORE_int = 1'b0;
-    end
-
-endmodule
-`endif

Rozdílová data souboru nebyla zobrazena, protože soubor je příliš velký
+ 0 - 2526
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/modelsim.ini


+ 0 - 16
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/simulate.do

@@ -1,16 +0,0 @@
-onbreak {quit -f}
-onerror {quit -f}
-
-vsim -voptargs="+acc" -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm -lib xil_defaultlib xil_defaultlib.MeasDataFifo xil_defaultlib.glbl
-
-do {wave.do}
-
-view wave
-view structure
-view signals
-
-do {MeasDataFifo.udo}
-
-run -all
-
-quit -force

+ 0 - 2
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/modelsim/wave.do

@@ -1,2 +0,0 @@
-add wave *
-add wave /glbl/GSR

+ 0 - 174
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/MeasDataFifo.sh

@@ -1,174 +0,0 @@
-#!/bin/bash -f
-#*********************************************************************************************************
-# Vivado (TM) v2020.2 (64-bit)
-#
-# Filename    : MeasDataFifo.sh
-# Simulator   : Mentor Graphics Questa Advanced Simulator
-# Description : Simulation script for compiling, elaborating and verifying the project source files.
-#               The script will automatically create the design libraries sub-directories in the run
-#               directory, add the library logical mappings in the simulator setup file, create default
-#               'do/prj' file, execute compilation, elaboration and simulation steps.
-#
-# Generated by Vivado on Wed May 03 12:25:18 +0700 2023
-# SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020
-#
-# Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. 
-#
-# usage: MeasDataFifo.sh [-help]
-# usage: MeasDataFifo.sh [-lib_map_path]
-# usage: MeasDataFifo.sh [-noclean_files]
-# usage: MeasDataFifo.sh [-reset_run]
-#
-# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
-# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
-# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
-# that points to these libraries and rerun export_simulation. For more information about this switch please
-# type 'export_simulation -help' in the Tcl shell.
-#
-# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
-# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
-# executing this script. Please type 'MeasDataFifo.sh -help' for more information.
-#
-# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
-#
-#*********************************************************************************************************
-
-
-# Script info
-echo -e "MeasDataFifo.sh - Script generated by export_simulation (Vivado v2020.2 (64-bit)-id)\n"
-
-# Main steps
-run()
-{
-  check_args $# $1
-  setup $1 $2
-  compile
-  elaborate
-  simulate
-}
-
-# RUN_STEP: <compile>
-compile()
-{
-  # Compile design files
-  source compile.do 2>&1 | tee -a compile.log
-
-}
-
-# RUN_STEP: <elaborate>
-elaborate()
-{
-  source elaborate.do 2>&1 | tee -a elaborate.log
-}
-
-# RUN_STEP: <simulate>
-simulate()
-{
-  vsim  -c -do "do {simulate.do}" -l simulate.log
-}
-
-# STEP: setup
-setup()
-{
-  case $1 in
-    "-lib_map_path" )
-      if [[ ($2 == "") ]]; then
-        echo -e "ERROR: Simulation library directory path not specified (type \"./MeasDataFifo.sh -help\" for more information)\n"
-        exit 1
-      fi
-     copy_setup_file $2
-    ;;
-    "-reset_run" )
-      reset_run
-      echo -e "INFO: Simulation run files deleted.\n"
-      exit 0
-    ;;
-    "-noclean_files" )
-      # do not remove previous data
-    ;;
-    * )
-     copy_setup_file $2
-  esac
-
-  create_lib_dir
-
-  # Add any setup/initialization commands here:-
-
-  # <user specific commands>
-
-}
-
-# Copy modelsim.ini file
-copy_setup_file()
-{
-  file="modelsim.ini"
-  if [[ ($1 != "") ]]; then
-    lib_map_path="$1"
-  else
-    lib_map_path="C:/Users/work/Desktop/S5243PM_REPO/S5443_M/S5443.cache/compile_simlib/questa"
-  fi
-  if [[ ($lib_map_path != "") ]]; then
-    src_file="$lib_map_path/$file"
-    cp $src_file .
-  fi
-}
-
-# Create design library directory
-create_lib_dir()
-{
-  lib_dir="questa_lib"
-  if [[ -e $lib_dir ]]; then
-    rm -rf $lib_dir
-  fi
-
-  mkdir $lib_dir
-
-}
-
-# Delete generated data from the previous run
-reset_run()
-{
-  files_to_remove=(compile.log elaborate.log simulate.log vsim.wlf questa_lib)
-  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
-    file="${files_to_remove[i]}"
-    if [[ -e $file ]]; then
-      rm -rf $file
-    fi
-  done
-
-  create_lib_dir
-}
-
-# Check command line arguments
-check_args()
-{
-  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
-    echo -e "ERROR: Unknown option specified '$2' (type \"./MeasDataFifo.sh -help\" for more information)\n"
-    exit 1
-  fi
-
-  if [[ ($2 == "-help" || $2 == "-h") ]]; then
-    usage
-  fi
-}
-
-# Script usage
-usage()
-{
-  msg="Usage: MeasDataFifo.sh [-help]\n\
-Usage: MeasDataFifo.sh [-lib_map_path]\n\
-Usage: MeasDataFifo.sh [-reset_run]\n\
-Usage: MeasDataFifo.sh [-noclean_files]\n\n\
-[-help] -- Print help information for this script\n\n\
-[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
-using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
-[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
-from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
--noclean_files switch.\n\n\
-[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
-  echo -e $msg
-  exit 1
-}
-
-# Launch script
-run $1 $2

+ 0 - 0
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/MeasDataFifo.udo


+ 0 - 49
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/README.txt

@@ -1,49 +0,0 @@
-################################################################################
-# Vivado (TM) v2020.2 (64-bit)
-#
-# README.txt: Please read the sections below to understand the steps required to
-#             run the exported script and information about the source files.
-#
-# Generated by export_simulation on Wed May 03 12:25:18 +0700 2023
-#
-################################################################################
-
-1. How to run the generated simulation script:-
-
-From the shell prompt in the current directory, issue the following command:-
-
-./MeasDataFifo.sh
-
-This command will launch the 'compile', 'elaborate' and 'simulate' functions
-implemented in the script file for the 3-step flow. These functions are called
-from the main 'run' function in the script file.
-
-The 'run' function first executes the 'setup' function, the purpose of which is to
-create simulator specific setup files, create design library mappings and library
-directories and copy 'glbl.v' from the Vivado software install location into the
-current directory.
-
-The 'setup' function is also used for removing the simulator generated data in
-order to reset the current directory to the original state when export_simulation
-was launched from Vivado. This generated data can be removed by specifying the
-'-reset_run' switch to the './MeasDataFifo.sh' script.
-
-./MeasDataFifo.sh -reset_run
-
-To keep the generated data from the previous run but regenerate the setup files and
-library directories, use the '-noclean_files' switch.
-
-./MeasDataFifo.sh -noclean_files
-
-For more information on the script, please type './MeasDataFifo.sh -help'.
-
-2. Additional design information files:-
-
-export_simulation generates following additional file that can be used for fetching
-the design files information or for integrating with external custom scripts.
-
-Name   : file_info.txt
-Purpose: This file contains detail design file information based on the compile order
-         when export_simulation was executed from Vivado. The file contains information
-         about the file type, name, whether it is part of the IP, associated library
-         and the file path information.

+ 0 - 22
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/compile.do

@@ -1,22 +0,0 @@
-vlib questa_lib/work
-vlib questa_lib/msim
-
-vlib questa_lib/msim/xpm
-vlib questa_lib/msim/xil_defaultlib
-
-vmap xpm questa_lib/msim/xpm
-vmap xil_defaultlib questa_lib/msim/xil_defaultlib
-
-vlog -work xpm  -sv \
-"C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
-"C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \
-
-vcom -work xpm  -93 \
-"C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd" \
-
-vlog -work xil_defaultlib  \
-"../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v" \
-
-vlog -work xil_defaultlib \
-"glbl.v"
-

+ 0 - 1
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/elaborate.do

@@ -1 +0,0 @@
-vopt +acc=npr -l elaborate.log -L xpm -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -work xil_defaultlib xil_defaultlib.MeasDataFifo xil_defaultlib.glbl -o MeasDataFifo_opt

+ 0 - 5
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/file_info.txt

@@ -1,5 +0,0 @@
-xpm_cdc.sv,systemverilog,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,
-xpm_memory.sv,systemverilog,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv,
-xpm_VCOMP.vhd,vhdl,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd,
-MeasDataFifo_sim_netlist.v,verilog,xil_defaultlib,../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v,
-glbl.v,Verilog,xil_defaultlib,glbl.v

+ 0 - 84
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/glbl.v

@@ -1,84 +0,0 @@
-// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
-`ifndef GLBL
-`define GLBL
-`timescale  1 ps / 1 ps
-
-module glbl ();
-
-    parameter ROC_WIDTH = 100000;
-    parameter TOC_WIDTH = 0;
-    parameter GRES_WIDTH = 10000;
-    parameter GRES_START = 10000;
-
-//--------   STARTUP Globals --------------
-    wire GSR;
-    wire GTS;
-    wire GWE;
-    wire PRLD;
-    wire GRESTORE;
-    tri1 p_up_tmp;
-    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
-
-    wire PROGB_GLBL;
-    wire CCLKO_GLBL;
-    wire FCSBO_GLBL;
-    wire [3:0] DO_GLBL;
-    wire [3:0] DI_GLBL;
-   
-    reg GSR_int;
-    reg GTS_int;
-    reg PRLD_int;
-    reg GRESTORE_int;
-
-//--------   JTAG Globals --------------
-    wire JTAG_TDO_GLBL;
-    wire JTAG_TCK_GLBL;
-    wire JTAG_TDI_GLBL;
-    wire JTAG_TMS_GLBL;
-    wire JTAG_TRST_GLBL;
-
-    reg JTAG_CAPTURE_GLBL;
-    reg JTAG_RESET_GLBL;
-    reg JTAG_SHIFT_GLBL;
-    reg JTAG_UPDATE_GLBL;
-    reg JTAG_RUNTEST_GLBL;
-
-    reg JTAG_SEL1_GLBL = 0;
-    reg JTAG_SEL2_GLBL = 0 ;
-    reg JTAG_SEL3_GLBL = 0;
-    reg JTAG_SEL4_GLBL = 0;
-
-    reg JTAG_USER_TDO1_GLBL = 1'bz;
-    reg JTAG_USER_TDO2_GLBL = 1'bz;
-    reg JTAG_USER_TDO3_GLBL = 1'bz;
-    reg JTAG_USER_TDO4_GLBL = 1'bz;
-
-    assign (strong1, weak0) GSR = GSR_int;
-    assign (strong1, weak0) GTS = GTS_int;
-    assign (weak1, weak0) PRLD = PRLD_int;
-    assign (strong1, weak0) GRESTORE = GRESTORE_int;
-
-    initial begin
-	GSR_int = 1'b1;
-	PRLD_int = 1'b1;
-	#(ROC_WIDTH)
-	GSR_int = 1'b0;
-	PRLD_int = 1'b0;
-    end
-
-    initial begin
-	GTS_int = 1'b1;
-	#(TOC_WIDTH)
-	GTS_int = 1'b0;
-    end
-
-    initial begin 
-	GRESTORE_int = 1'b0;
-	#(GRES_START);
-	GRESTORE_int = 1'b1;
-	#(GRES_WIDTH);
-	GRESTORE_int = 1'b0;
-    end
-
-endmodule
-`endif

+ 0 - 16
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/simulate.do

@@ -1,16 +0,0 @@
-onbreak {quit -f}
-onerror {quit -f}
-
-vsim -lib xil_defaultlib MeasDataFifo_opt
-
-do {wave.do}
-
-view wave
-view structure
-view signals
-
-do {MeasDataFifo.udo}
-
-run -all
-
-quit -force

+ 0 - 2
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/questa/wave.do

@@ -1,2 +0,0 @@
-add wave *
-add wave /glbl/GSR

+ 0 - 153
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/MeasDataFifo.sh

@@ -1,153 +0,0 @@
-#!/bin/bash -f
-#*********************************************************************************************************
-# Vivado (TM) v2020.2 (64-bit)
-#
-# Filename    : MeasDataFifo.sh
-# Simulator   : Aldec Riviera-PRO Simulator
-# Description : Simulation script for compiling, elaborating and verifying the project source files.
-#               The script will automatically create the design libraries sub-directories in the run
-#               directory, add the library logical mappings in the simulator setup file, create default
-#               'do/prj' file, execute compilation, elaboration and simulation steps.
-#
-# Generated by Vivado on Wed May 03 12:25:18 +0700 2023
-# SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020
-#
-# Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. 
-#
-# usage: MeasDataFifo.sh [-help]
-# usage: MeasDataFifo.sh [-lib_map_path]
-# usage: MeasDataFifo.sh [-noclean_files]
-# usage: MeasDataFifo.sh [-reset_run]
-#
-# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
-# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
-# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
-# that points to these libraries and rerun export_simulation. For more information about this switch please
-# type 'export_simulation -help' in the Tcl shell.
-#
-# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
-# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
-# executing this script. Please type 'MeasDataFifo.sh -help' for more information.
-#
-# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
-#
-#*********************************************************************************************************
-
-
-# Script info
-echo -e "MeasDataFifo.sh - Script generated by export_simulation (Vivado v2020.2 (64-bit)-id)\n"
-
-# Main steps
-run()
-{
-  check_args $# $1
-  setup $1 $2
-  compile
-  simulate
-}
-
-# RUN_STEP: <compile>
-compile()
-{
-  # Compile design files
-  source compile.do 2>&1 | tee -a compile.log
-
-}
-
-# RUN_STEP: <simulate>
-simulate()
-{
-  runvsimsa -l simulate.log -do "do {simulate.do}"
-}
-
-# STEP: setup
-setup()
-{
-  case $1 in
-    "-lib_map_path" )
-      if [[ ($2 == "") ]]; then
-        echo -e "ERROR: Simulation library directory path not specified (type \"./MeasDataFifo.sh -help\" for more information)\n"
-        exit 1
-      fi
-     map_setup_file $2
-    ;;
-    "-reset_run" )
-      reset_run
-      echo -e "INFO: Simulation run files deleted.\n"
-      exit 0
-    ;;
-    "-noclean_files" )
-      # do not remove previous data
-    ;;
-    * )
-     map_setup_file $2
-  esac
-
-  # Add any setup/initialization commands here:-
-
-  # <user specific commands>
-
-}
-
-# Map library.cfg file
-map_setup_file()
-{
-  file="library.cfg"
-  if [[ ($1 != "") ]]; then
-    lib_map_path="$1"
-  else
-    lib_map_path="C:/Users/work/Desktop/S5243PM_REPO/S5443_M/S5443.cache/compile_simlib/riviera"
-  fi
-  if [[ ($lib_map_path != "") ]]; then
-    src_file="$lib_map_path/$file"
-    if [[ -e $src_file ]]; then
-      vmap -link $lib_map_path
-    fi
-  fi
-}
-
-# Delete generated data from the previous run
-reset_run()
-{
-  files_to_remove=(compile.log elaboration.log simulate.log dataset.asdb work riviera)
-  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
-    file="${files_to_remove[i]}"
-    if [[ -e $file ]]; then
-      rm -rf $file
-    fi
-  done
-}
-
-# Check command line arguments
-check_args()
-{
-  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
-    echo -e "ERROR: Unknown option specified '$2' (type \"./MeasDataFifo.sh -help\" for more information)\n"
-    exit 1
-  fi
-
-  if [[ ($2 == "-help" || $2 == "-h") ]]; then
-    usage
-  fi
-}
-
-# Script usage
-usage()
-{
-  msg="Usage: MeasDataFifo.sh [-help]\n\
-Usage: MeasDataFifo.sh [-lib_map_path]\n\
-Usage: MeasDataFifo.sh [-reset_run]\n\
-Usage: MeasDataFifo.sh [-noclean_files]\n\n\
-[-help] -- Print help information for this script\n\n\
-[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
-using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
-[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
-from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
--noclean_files switch.\n\n\
-[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
-  echo -e $msg
-  exit 1
-}
-
-# Launch script
-run $1 $2

+ 0 - 0
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/MeasDataFifo.udo


+ 0 - 49
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/README.txt

@@ -1,49 +0,0 @@
-################################################################################
-# Vivado (TM) v2020.2 (64-bit)
-#
-# README.txt: Please read the sections below to understand the steps required to
-#             run the exported script and information about the source files.
-#
-# Generated by export_simulation on Wed May 03 12:25:18 +0700 2023
-#
-################################################################################
-
-1. How to run the generated simulation script:-
-
-From the shell prompt in the current directory, issue the following command:-
-
-./MeasDataFifo.sh
-
-This command will launch the 'compile', 'elaborate' and 'simulate' functions
-implemented in the script file for the 3-step flow. These functions are called
-from the main 'run' function in the script file.
-
-The 'run' function first executes the 'setup' function, the purpose of which is to
-create simulator specific setup files, create design library mappings and library
-directories and copy 'glbl.v' from the Vivado software install location into the
-current directory.
-
-The 'setup' function is also used for removing the simulator generated data in
-order to reset the current directory to the original state when export_simulation
-was launched from Vivado. This generated data can be removed by specifying the
-'-reset_run' switch to the './MeasDataFifo.sh' script.
-
-./MeasDataFifo.sh -reset_run
-
-To keep the generated data from the previous run but regenerate the setup files and
-library directories, use the '-noclean_files' switch.
-
-./MeasDataFifo.sh -noclean_files
-
-For more information on the script, please type './MeasDataFifo.sh -help'.
-
-2. Additional design information files:-
-
-export_simulation generates following additional file that can be used for fetching
-the design files information or for integrating with external custom scripts.
-
-Name   : file_info.txt
-Purpose: This file contains detail design file information based on the compile order
-         when export_simulation was executed from Vivado. The file contains information
-         about the file type, name, whether it is part of the IP, associated library
-         and the file path information.

+ 0 - 22
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/compile.do

@@ -1,22 +0,0 @@
-vlib work
-vlib riviera
-
-vlib riviera/xpm
-vlib riviera/xil_defaultlib
-
-vmap xpm riviera/xpm
-vmap xil_defaultlib riviera/xil_defaultlib
-
-vlog -work xpm  -sv2k12 \
-"C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
-"C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \
-
-vcom -work xpm -93 \
-"C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd" \
-
-vlog -work xil_defaultlib  -v2k5 \
-"../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v" \
-
-vlog -work xil_defaultlib \
-"glbl.v"
-

+ 0 - 5
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/file_info.txt

@@ -1,5 +0,0 @@
-xpm_cdc.sv,systemverilog,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,
-xpm_memory.sv,systemverilog,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv,
-xpm_VCOMP.vhd,vhdl,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd,
-MeasDataFifo_sim_netlist.v,verilog,xil_defaultlib,../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v,
-glbl.v,Verilog,xil_defaultlib,glbl.v

+ 0 - 84
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/glbl.v

@@ -1,84 +0,0 @@
-// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
-`ifndef GLBL
-`define GLBL
-`timescale  1 ps / 1 ps
-
-module glbl ();
-
-    parameter ROC_WIDTH = 100000;
-    parameter TOC_WIDTH = 0;
-    parameter GRES_WIDTH = 10000;
-    parameter GRES_START = 10000;
-
-//--------   STARTUP Globals --------------
-    wire GSR;
-    wire GTS;
-    wire GWE;
-    wire PRLD;
-    wire GRESTORE;
-    tri1 p_up_tmp;
-    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
-
-    wire PROGB_GLBL;
-    wire CCLKO_GLBL;
-    wire FCSBO_GLBL;
-    wire [3:0] DO_GLBL;
-    wire [3:0] DI_GLBL;
-   
-    reg GSR_int;
-    reg GTS_int;
-    reg PRLD_int;
-    reg GRESTORE_int;
-
-//--------   JTAG Globals --------------
-    wire JTAG_TDO_GLBL;
-    wire JTAG_TCK_GLBL;
-    wire JTAG_TDI_GLBL;
-    wire JTAG_TMS_GLBL;
-    wire JTAG_TRST_GLBL;
-
-    reg JTAG_CAPTURE_GLBL;
-    reg JTAG_RESET_GLBL;
-    reg JTAG_SHIFT_GLBL;
-    reg JTAG_UPDATE_GLBL;
-    reg JTAG_RUNTEST_GLBL;
-
-    reg JTAG_SEL1_GLBL = 0;
-    reg JTAG_SEL2_GLBL = 0 ;
-    reg JTAG_SEL3_GLBL = 0;
-    reg JTAG_SEL4_GLBL = 0;
-
-    reg JTAG_USER_TDO1_GLBL = 1'bz;
-    reg JTAG_USER_TDO2_GLBL = 1'bz;
-    reg JTAG_USER_TDO3_GLBL = 1'bz;
-    reg JTAG_USER_TDO4_GLBL = 1'bz;
-
-    assign (strong1, weak0) GSR = GSR_int;
-    assign (strong1, weak0) GTS = GTS_int;
-    assign (weak1, weak0) PRLD = PRLD_int;
-    assign (strong1, weak0) GRESTORE = GRESTORE_int;
-
-    initial begin
-	GSR_int = 1'b1;
-	PRLD_int = 1'b1;
-	#(ROC_WIDTH)
-	GSR_int = 1'b0;
-	PRLD_int = 1'b0;
-    end
-
-    initial begin
-	GTS_int = 1'b1;
-	#(TOC_WIDTH)
-	GTS_int = 1'b0;
-    end
-
-    initial begin 
-	GRESTORE_int = 1'b0;
-	#(GRES_START);
-	GRESTORE_int = 1'b1;
-	#(GRES_WIDTH);
-	GRESTORE_int = 1'b0;
-    end
-
-endmodule
-`endif

+ 0 - 17
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/simulate.do

@@ -1,17 +0,0 @@
-onbreak {quit -force}
-onerror {quit -force}
-
-asim +access +r +m+MeasDataFifo -L xpm -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -O5 xil_defaultlib.MeasDataFifo xil_defaultlib.glbl
-
-do {wave.do}
-
-view wave
-view structure
-
-do {MeasDataFifo.udo}
-
-run -all
-
-endsim
-
-quit -force

+ 0 - 2
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/riviera/wave.do

@@ -1,2 +0,0 @@
-add wave *
-add wave /glbl/GSR

+ 0 - 229
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/vcs/MeasDataFifo.sh

@@ -1,229 +0,0 @@
-#!/bin/bash -f
-#*********************************************************************************************************
-# Vivado (TM) v2020.2 (64-bit)
-#
-# Filename    : MeasDataFifo.sh
-# Simulator   : Synopsys Verilog Compiler Simulator
-# Description : Simulation script for compiling, elaborating and verifying the project source files.
-#               The script will automatically create the design libraries sub-directories in the run
-#               directory, add the library logical mappings in the simulator setup file, create default
-#               'do/prj' file, execute compilation, elaboration and simulation steps.
-#
-# Generated by Vivado on Wed May 03 12:25:18 +0700 2023
-# SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020
-#
-# Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. 
-#
-# usage: MeasDataFifo.sh [-help]
-# usage: MeasDataFifo.sh [-lib_map_path]
-# usage: MeasDataFifo.sh [-noclean_files]
-# usage: MeasDataFifo.sh [-reset_run]
-#
-# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
-# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
-# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
-# that points to these libraries and rerun export_simulation. For more information about this switch please
-# type 'export_simulation -help' in the Tcl shell.
-#
-# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
-# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
-# executing this script. Please type 'MeasDataFifo.sh -help' for more information.
-#
-# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
-#
-#*********************************************************************************************************
-
-# Directory path for design sources and include directories (if any) wrt this path
-ref_dir="."
-
-# Override directory with 'export_sim_ref_dir' env path value if set in the shell
-if [[ (! -z "$export_sim_ref_dir") && ($export_sim_ref_dir != "") ]]; then
-  ref_dir="$export_sim_ref_dir"
-fi
-
-# Command line options
-vlogan_opts="-full64"
-vhdlan_opts="-full64"
-vcs_elab_opts="-full64 -debug_pp -t ps -licqueue -l elaborate.log"
-vcs_sim_opts="-ucli -licqueue -l simulate.log"
-
-# Design libraries
-design_libs=(xpm xil_defaultlib)
-
-# Simulation root library directory
-sim_lib_dir="vcs_lib"
-
-# Script info
-echo -e "MeasDataFifo.sh - Script generated by export_simulation (Vivado v2020.2 (64-bit)-id)\n"
-
-# Main steps
-run()
-{
-  check_args $# $1
-  setup $1 $2
-  compile
-  elaborate
-  simulate
-}
-
-# RUN_STEP: <compile>
-compile()
-{
-  # Compile design files
-  vlogan -work xpm $vlogan_opts -sverilog \
-    "C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
-    "C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \
-  2>&1 | tee -a vlogan.log
-
-  vhdlan -work xpm $vhdlan_opts \
-    "C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd" \
-  2>&1 | tee -a vhdlan.log
-
-  vlogan -work xil_defaultlib $vlogan_opts +v2k \
-    "$ref_dir/../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v" \
-  2>&1 | tee -a vlogan.log
-
-
-  vlogan -work xil_defaultlib $vlogan_opts +v2k \
-    glbl.v \
-  2>&1 | tee -a vlogan.log
-
-}
-
-# RUN_STEP: <elaborate>
-elaborate()
-{
-  vcs $vcs_elab_opts xil_defaultlib.MeasDataFifo xil_defaultlib.glbl -o MeasDataFifo_simv
-}
-
-# RUN_STEP: <simulate>
-simulate()
-{
-  ./MeasDataFifo_simv $vcs_sim_opts -do simulate.do
-}
-
-# STEP: setup
-setup()
-{
-  case $1 in
-    "-lib_map_path" )
-      if [[ ($2 == "") ]]; then
-        echo -e "ERROR: Simulation library directory path not specified (type \"./MeasDataFifo.sh -help\" for more information)\n"
-        exit 1
-      fi
-      create_lib_mappings $2
-    ;;
-    "-reset_run" )
-      reset_run
-      echo -e "INFO: Simulation run files deleted.\n"
-      exit 0
-    ;;
-    "-noclean_files" )
-      # do not remove previous data
-    ;;
-    * )
-      create_lib_mappings $2
-  esac
-
-  create_lib_dir
-
-  # Add any setup/initialization commands here:-
-
-  # <user specific commands>
-
-}
-
-# Define design library mappings
-create_lib_mappings()
-{
-  file="synopsys_sim.setup"
-  if [[ -e $file ]]; then
-    if [[ ($1 == "") ]]; then
-      return
-    else
-      rm -rf $file
-    fi
-  fi
-
-  touch $file
-
-  lib_map_path=""
-  if [[ ($1 != "") ]]; then
-    lib_map_path="$1"
-  fi
-
-  for (( i=0; i<${#design_libs[*]}; i++ )); do
-    lib="${design_libs[i]}"
-    mapping="$lib:$sim_lib_dir/$lib"
-    echo $mapping >> $file
-  done
-
-  if [[ ($lib_map_path != "") ]]; then
-    incl_ref="OTHERS=$lib_map_path/synopsys_sim.setup"
-    echo $incl_ref >> $file
-  fi
-}
-
-# Create design library directory paths
-create_lib_dir()
-{
-  if [[ -e $sim_lib_dir ]]; then
-    rm -rf $sim_lib_dir
-  fi
-
-  for (( i=0; i<${#design_libs[*]}; i++ )); do
-    lib="${design_libs[i]}"
-    lib_dir="$sim_lib_dir/$lib"
-    if [[ ! -e $lib_dir ]]; then
-      mkdir -p $lib_dir
-    fi
-  done
-}
-
-# Delete generated data from the previous run
-reset_run()
-{
-  files_to_remove=(ucli.key MeasDataFifo_simv vlogan.log vhdlan.log compile.log elaborate.log simulate.log .vlogansetup.env .vlogansetup.args .vcs_lib_lock scirocco_command.log 64 AN.DB csrc MeasDataFifo_simv.daidir)
-  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
-    file="${files_to_remove[i]}"
-    if [[ -e $file ]]; then
-      rm -rf $file
-    fi
-  done
-
-  create_lib_dir
-}
-
-# Check command line arguments
-check_args()
-{
-  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
-    echo -e "ERROR: Unknown option specified '$2' (type \"./MeasDataFifo.sh -help\" for more information)\n"
-    exit 1
-  fi
-
-  if [[ ($2 == "-help" || $2 == "-h") ]]; then
-    usage
-  fi
-}
-
-# Script usage
-usage()
-{
-  msg="Usage: MeasDataFifo.sh [-help]\n\
-Usage: MeasDataFifo.sh [-lib_map_path]\n\
-Usage: MeasDataFifo.sh [-reset_run]\n\
-Usage: MeasDataFifo.sh [-noclean_files]\n\n\
-[-help] -- Print help information for this script\n\n\
-[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
-using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
-[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
-from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
--noclean_files switch.\n\n\
-[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
-  echo -e $msg
-  exit 1
-}
-
-# Launch script
-run $1 $2

+ 0 - 49
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/vcs/README.txt

@@ -1,49 +0,0 @@
-################################################################################
-# Vivado (TM) v2020.2 (64-bit)
-#
-# README.txt: Please read the sections below to understand the steps required to
-#             run the exported script and information about the source files.
-#
-# Generated by export_simulation on Wed May 03 12:25:18 +0700 2023
-#
-################################################################################
-
-1. How to run the generated simulation script:-
-
-From the shell prompt in the current directory, issue the following command:-
-
-./MeasDataFifo.sh
-
-This command will launch the 'compile', 'elaborate' and 'simulate' functions
-implemented in the script file for the 3-step flow. These functions are called
-from the main 'run' function in the script file.
-
-The 'run' function first executes the 'setup' function, the purpose of which is to
-create simulator specific setup files, create design library mappings and library
-directories and copy 'glbl.v' from the Vivado software install location into the
-current directory.
-
-The 'setup' function is also used for removing the simulator generated data in
-order to reset the current directory to the original state when export_simulation
-was launched from Vivado. This generated data can be removed by specifying the
-'-reset_run' switch to the './MeasDataFifo.sh' script.
-
-./MeasDataFifo.sh -reset_run
-
-To keep the generated data from the previous run but regenerate the setup files and
-library directories, use the '-noclean_files' switch.
-
-./MeasDataFifo.sh -noclean_files
-
-For more information on the script, please type './MeasDataFifo.sh -help'.
-
-2. Additional design information files:-
-
-export_simulation generates following additional file that can be used for fetching
-the design files information or for integrating with external custom scripts.
-
-Name   : file_info.txt
-Purpose: This file contains detail design file information based on the compile order
-         when export_simulation was executed from Vivado. The file contains information
-         about the file type, name, whether it is part of the IP, associated library
-         and the file path information.

+ 0 - 5
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/vcs/file_info.txt

@@ -1,5 +0,0 @@
-xpm_cdc.sv,systemverilog,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,
-xpm_memory.sv,systemverilog,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv,
-xpm_VCOMP.vhd,vhdl,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd,
-MeasDataFifo_sim_netlist.v,verilog,xil_defaultlib,../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v,
-glbl.v,Verilog,xil_defaultlib,glbl.v

+ 0 - 84
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/vcs/glbl.v

@@ -1,84 +0,0 @@
-// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
-`ifndef GLBL
-`define GLBL
-`timescale  1 ps / 1 ps
-
-module glbl ();
-
-    parameter ROC_WIDTH = 100000;
-    parameter TOC_WIDTH = 0;
-    parameter GRES_WIDTH = 10000;
-    parameter GRES_START = 10000;
-
-//--------   STARTUP Globals --------------
-    wire GSR;
-    wire GTS;
-    wire GWE;
-    wire PRLD;
-    wire GRESTORE;
-    tri1 p_up_tmp;
-    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
-
-    wire PROGB_GLBL;
-    wire CCLKO_GLBL;
-    wire FCSBO_GLBL;
-    wire [3:0] DO_GLBL;
-    wire [3:0] DI_GLBL;
-   
-    reg GSR_int;
-    reg GTS_int;
-    reg PRLD_int;
-    reg GRESTORE_int;
-
-//--------   JTAG Globals --------------
-    wire JTAG_TDO_GLBL;
-    wire JTAG_TCK_GLBL;
-    wire JTAG_TDI_GLBL;
-    wire JTAG_TMS_GLBL;
-    wire JTAG_TRST_GLBL;
-
-    reg JTAG_CAPTURE_GLBL;
-    reg JTAG_RESET_GLBL;
-    reg JTAG_SHIFT_GLBL;
-    reg JTAG_UPDATE_GLBL;
-    reg JTAG_RUNTEST_GLBL;
-
-    reg JTAG_SEL1_GLBL = 0;
-    reg JTAG_SEL2_GLBL = 0 ;
-    reg JTAG_SEL3_GLBL = 0;
-    reg JTAG_SEL4_GLBL = 0;
-
-    reg JTAG_USER_TDO1_GLBL = 1'bz;
-    reg JTAG_USER_TDO2_GLBL = 1'bz;
-    reg JTAG_USER_TDO3_GLBL = 1'bz;
-    reg JTAG_USER_TDO4_GLBL = 1'bz;
-
-    assign (strong1, weak0) GSR = GSR_int;
-    assign (strong1, weak0) GTS = GTS_int;
-    assign (weak1, weak0) PRLD = PRLD_int;
-    assign (strong1, weak0) GRESTORE = GRESTORE_int;
-
-    initial begin
-	GSR_int = 1'b1;
-	PRLD_int = 1'b1;
-	#(ROC_WIDTH)
-	GSR_int = 1'b0;
-	PRLD_int = 1'b0;
-    end
-
-    initial begin
-	GTS_int = 1'b1;
-	#(TOC_WIDTH)
-	GTS_int = 1'b0;
-    end
-
-    initial begin 
-	GRESTORE_int = 1'b0;
-	#(GRES_START);
-	GRESTORE_int = 1'b1;
-	#(GRES_WIDTH);
-	GRESTORE_int = 1'b0;
-    end
-
-endmodule
-`endif

+ 0 - 2
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/vcs/simulate.do

@@ -1,2 +0,0 @@
-run
-quit

+ 0 - 175
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xcelium/MeasDataFifo.sh

@@ -1,175 +0,0 @@
-#!/bin/bash -f
-#*********************************************************************************************************
-# Vivado (TM) v2020.2 (64-bit)
-#
-# Filename    : MeasDataFifo.sh
-# Simulator   : Cadence Xcelium Parallel Simulator
-# Description : Simulation script for compiling, elaborating and verifying the project source files.
-#               The script will automatically create the design libraries sub-directories in the run
-#               directory, add the library logical mappings in the simulator setup file, create default
-#               'do/prj' file, execute compilation, elaboration and simulation steps.
-#
-# Generated by Vivado on Wed May 03 12:25:18 +0700 2023
-# SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020
-#
-# Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. 
-#
-# usage: MeasDataFifo.sh [-help]
-# usage: MeasDataFifo.sh [-lib_map_path]
-# usage: MeasDataFifo.sh [-noclean_files]
-# usage: MeasDataFifo.sh [-reset_run]
-#
-# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
-# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
-# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
-# that points to these libraries and rerun export_simulation. For more information about this switch please
-# type 'export_simulation -help' in the Tcl shell.
-#
-# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
-# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
-# executing this script. Please type 'MeasDataFifo.sh -help' for more information.
-#
-# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
-#
-#*********************************************************************************************************
-
-# Directory path for design sources and include directories (if any) wrt this path
-ref_dir="."
-
-# Override directory with 'export_sim_ref_dir' env path value if set in the shell
-if [[ (! -z "$export_sim_ref_dir") && ($export_sim_ref_dir != "") ]]; then
-  ref_dir="$export_sim_ref_dir"
-fi
-
-# Set the compiled library directory
-ref_lib_dir="."
-
-# Command line options
-xrun_opts="-64bit -v93 -relax -access +rwc -namemap_mixgen"
-
-# Design libraries
-design_libs=(xpm xil_defaultlib)
-
-# Simulation root library directory
-sim_lib_dir="xcelium_lib"
-
-# Script info
-echo -e "MeasDataFifo.sh - Script generated by export_simulation (Vivado v2020.2 (64-bit)-id)\n"
-
-# Main steps
-run()
-{
-  check_args $# $1
-  setup $1 $2
-  execute
-}
-
-# RUN_STEP: <execute>
-execute()
-{
-  xrun $xrun_opts \
-       -reflib "$ref_lib_dir/unisim:unisim" \
-       -reflib "$ref_lib_dir/unisims_ver:unisims_ver" \
-       -reflib "$ref_lib_dir/secureip:secureip" \
-       -reflib "$ref_lib_dir/unimacro:unimacro" \
-       -reflib "$ref_lib_dir/unimacro_ver:unimacro_ver" \
-       -top xil_defaultlib.MeasDataFifo \
-       -f run.f \
-       -top glbl \
-       glbl.v
-}
-
-# STEP: setup
-setup()
-{
-  case $1 in
-    "-lib_map_path" )
-      if [[ ($2 == "") ]]; then
-        echo -e "ERROR: Simulation library directory path not specified (type \"./MeasDataFifo.sh -help\" for more information)\n"
-        exit 1
-      else
-        ref_lib_dir=$2
-      fi
-    ;;
-    "-reset_run" )
-      reset_run
-      echo -e "INFO: Simulation run files deleted.\n"
-      exit 0
-    ;;
-    "-noclean_files" )
-      # do not remove previous data
-    ;;
-    * )
-  esac
-
-  create_lib_dir
-
-  # Add any setup/initialization commands here:-
-
-  # <user specific commands>
-
-}
-
-# Create design library directory paths
-create_lib_dir()
-{
-  if [[ -e $sim_lib_dir ]]; then
-    rm -rf $sim_lib_dir
-  fi
-
-  for (( i=0; i<${#design_libs[*]}; i++ )); do
-    lib="${design_libs[i]}"
-    lib_dir="$sim_lib_dir/$lib"
-    if [[ ! -e $lib_dir ]]; then
-      mkdir -p $lib_dir
-    fi
-  done
-}
-
-# Delete generated data from the previous run
-reset_run()
-{
-  files_to_remove=(xmsim.key xrun.key xrun.log waves.shm xrun.history .simvision xcelium.d xcelium)
-  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
-    file="${files_to_remove[i]}"
-    if [[ -e $file ]]; then
-      rm -rf $file
-    fi
-  done
-
-  create_lib_dir
-}
-
-# Check command line arguments
-check_args()
-{
-  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
-    echo -e "ERROR: Unknown option specified '$2' (type \"./MeasDataFifo.sh -help\" for more information)\n"
-    exit 1
-  fi
-
-  if [[ ($2 == "-help" || $2 == "-h") ]]; then
-    usage
-  fi
-}
-
-# Script usage
-usage()
-{
-  msg="Usage: MeasDataFifo.sh [-help]\n\
-Usage: MeasDataFifo.sh [-lib_map_path]\n\
-Usage: MeasDataFifo.sh [-reset_run]\n\
-Usage: MeasDataFifo.sh [-noclean_files]\n\n\
-[-help] -- Print help information for this script\n\n\
-[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
-using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
-[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
-from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
--noclean_files switch.\n\n\
-[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
-  echo -e $msg
-  exit 1
-}
-
-# Launch script
-run $1 $2

+ 0 - 48
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xcelium/README.txt

@@ -1,48 +0,0 @@
-################################################################################
-# Vivado (TM) v2020.2 (64-bit)
-#
-# README.txt: Please read the sections below to understand the steps required to
-#             run the exported script and information about the source files.
-#
-# Generated by export_simulation on Wed May 03 12:25:18 +0700 2023
-#
-################################################################################
-
-1. How to run the generated simulation script:-
-
-From the shell prompt in the current directory, issue the following command:-
-
-./MeasDataFifo.sh
-
-This command will launch the 'execute' function for the single-step flow. This
-function is called from the main 'run' function in the script file.
-
-The 'run' function first executes the 'setup' function, the purpose of which is to
-create simulator specific setup files, create design library mappings and library
-directories and copy 'glbl.v' from the Vivado software install location into the
-current directory.
-
-The 'setup' function is also used for removing the simulator generated data in
-order to reset the current directory to the original state when export_simulation
-was launched from Vivado. This generated data can be removed by specifying the
-'-reset_run' switch to the './MeasDataFifo.sh' script.
-
-./MeasDataFifo.sh -reset_run
-
-To keep the generated data from the previous run but regenerate the setup files and
-library directories, use the '-noclean_files' switch.
-
-./MeasDataFifo.sh -noclean_files
-
-For more information on the script, please type './MeasDataFifo.sh -help'.
-
-2. Additional design information files:-
-
-export_simulation generates following additional file that can be used for fetching
-the design files information or for integrating with external custom scripts.
-
-Name   : file_info.txt
-Purpose: This file contains detail design file information based on the compile order
-         when export_simulation was executed from Vivado. The file contains information
-         about the file type, name, whether it is part of the IP, associated library
-         and the file path information.

+ 0 - 5
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xcelium/file_info.txt

@@ -1,5 +0,0 @@
-xpm_cdc.sv,systemverilog,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,
-xpm_memory.sv,systemverilog,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv,
-xpm_VCOMP.vhd,vhdl,xpm,../../../../../../../../../Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd,
-MeasDataFifo_sim_netlist.v,verilog,xil_defaultlib,../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v,
-glbl.v,Verilog,xil_defaultlib,glbl.v

+ 0 - 84
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xcelium/glbl.v

@@ -1,84 +0,0 @@
-// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
-`ifndef GLBL
-`define GLBL
-`timescale  1 ps / 1 ps
-
-module glbl ();
-
-    parameter ROC_WIDTH = 100000;
-    parameter TOC_WIDTH = 0;
-    parameter GRES_WIDTH = 10000;
-    parameter GRES_START = 10000;
-
-//--------   STARTUP Globals --------------
-    wire GSR;
-    wire GTS;
-    wire GWE;
-    wire PRLD;
-    wire GRESTORE;
-    tri1 p_up_tmp;
-    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
-
-    wire PROGB_GLBL;
-    wire CCLKO_GLBL;
-    wire FCSBO_GLBL;
-    wire [3:0] DO_GLBL;
-    wire [3:0] DI_GLBL;
-   
-    reg GSR_int;
-    reg GTS_int;
-    reg PRLD_int;
-    reg GRESTORE_int;
-
-//--------   JTAG Globals --------------
-    wire JTAG_TDO_GLBL;
-    wire JTAG_TCK_GLBL;
-    wire JTAG_TDI_GLBL;
-    wire JTAG_TMS_GLBL;
-    wire JTAG_TRST_GLBL;
-
-    reg JTAG_CAPTURE_GLBL;
-    reg JTAG_RESET_GLBL;
-    reg JTAG_SHIFT_GLBL;
-    reg JTAG_UPDATE_GLBL;
-    reg JTAG_RUNTEST_GLBL;
-
-    reg JTAG_SEL1_GLBL = 0;
-    reg JTAG_SEL2_GLBL = 0 ;
-    reg JTAG_SEL3_GLBL = 0;
-    reg JTAG_SEL4_GLBL = 0;
-
-    reg JTAG_USER_TDO1_GLBL = 1'bz;
-    reg JTAG_USER_TDO2_GLBL = 1'bz;
-    reg JTAG_USER_TDO3_GLBL = 1'bz;
-    reg JTAG_USER_TDO4_GLBL = 1'bz;
-
-    assign (strong1, weak0) GSR = GSR_int;
-    assign (strong1, weak0) GTS = GTS_int;
-    assign (weak1, weak0) PRLD = PRLD_int;
-    assign (strong1, weak0) GRESTORE = GRESTORE_int;
-
-    initial begin
-	GSR_int = 1'b1;
-	PRLD_int = 1'b1;
-	#(ROC_WIDTH)
-	GSR_int = 1'b0;
-	PRLD_int = 1'b0;
-    end
-
-    initial begin
-	GTS_int = 1'b1;
-	#(TOC_WIDTH)
-	GTS_int = 1'b0;
-    end
-
-    initial begin 
-	GRESTORE_int = 1'b0;
-	#(GRES_START);
-	GRESTORE_int = 1'b1;
-	#(GRES_WIDTH);
-	GRESTORE_int = 1'b0;
-    end
-
-endmodule
-`endif

+ 0 - 14
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xcelium/run.f

@@ -1,14 +0,0 @@
--makelib xcelium_lib/xpm -sv \
-  "C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
-  "C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \
--endlib
--makelib xcelium_lib/xpm \
-  "C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd" \
--endlib
--makelib xcelium_lib/xil_defaultlib \
-  "../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v" \
--endlib
--makelib xcelium_lib/xil_defaultlib \
-  glbl.v
--endlib
-

+ 0 - 212
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/MeasDataFifo.sh

@@ -1,212 +0,0 @@
-#!/bin/bash -f
-#*********************************************************************************************************
-# Vivado (TM) v2020.2 (64-bit)
-#
-# Filename    : MeasDataFifo.sh
-# Simulator   : Xilinx Vivado Simulator
-# Description : Simulation script for compiling, elaborating and verifying the project source files.
-#               The script will automatically create the design libraries sub-directories in the run
-#               directory, add the library logical mappings in the simulator setup file, create default
-#               'do/prj' file, execute compilation, elaboration and simulation steps.
-#
-# Generated by Vivado on Wed May 03 12:25:18 +0700 2023
-# SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020
-#
-# Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. 
-#
-# usage: MeasDataFifo.sh [-help]
-# usage: MeasDataFifo.sh [-lib_map_path]
-# usage: MeasDataFifo.sh [-noclean_files]
-# usage: MeasDataFifo.sh [-reset_run]
-#
-#*********************************************************************************************************
-
-# Command line options
-xv_boost_lib_path=C:/Xilinx/Vivado/2020.2/tps/boost_1_64_0
-xvlog_opts="--relax"
-
-
-# Script info
-echo -e "MeasDataFifo.sh - Script generated by export_simulation (Vivado v2020.2 (64-bit)-id)\n"
-
-# Main steps
-run()
-{
-  check_args $# $1
-  setup $1 $2
-  compile
-  elaborate
-  simulate
-}
-
-# RUN_STEP: <compile>
-compile()
-{
-  # Compile design files
-  xvlog $xvlog_opts -prj vlog.prj 2>&1 | tee compile.log
-
-}
-
-# RUN_STEP: <elaborate>
-elaborate()
-{
-  xelab --relax --debug typical --mt auto -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot MeasDataFifo xil_defaultlib.MeasDataFifo xil_defaultlib.glbl -log elaborate.log
-}
-
-# RUN_STEP: <simulate>
-simulate()
-{
-  xsim MeasDataFifo -key {Behavioral:sim_1:Functional:MeasDataFifo} -tclbatch cmd.tcl -log simulate.log
-}
-
-# STEP: setup
-setup()
-{
-  case $1 in
-    "-lib_map_path" )
-      if [[ ($2 == "") ]]; then
-        echo -e "ERROR: Simulation library directory path not specified (type \"./MeasDataFifo.sh -help\" for more information)\n"
-        exit 1
-      fi
-     copy_setup_file $2
-    ;;
-    "-reset_run" )
-      reset_run
-      echo -e "INFO: Simulation run files deleted.\n"
-      exit 0
-    ;;
-    "-noclean_files" )
-      # do not remove previous data
-    ;;
-    * )
-     copy_setup_file $2
-  esac
-
-  # Add any setup/initialization commands here:-
-
-  # <user specific commands>
-
-}
-
-# Copy xsim.ini file
-copy_setup_file()
-{
-  file="xsim.ini"
-  lib_map_path="C:/Xilinx/Vivado/2020.2/data/xsim"
-  if [[ ($1 != "") ]]; then
-    lib_map_path="$1"
-  fi
-  if [[ ($lib_map_path != "") ]]; then
-    src_file="$lib_map_path/$file"
-    if [[ -e $src_file ]]; then
-      cp $src_file .
-    fi
-
-    # Map local design libraries to xsim.ini
-    map_local_libs
-
-  fi
-}
-
-# Map local design libraries
-map_local_libs()
-{
-  updated_mappings=()
-  local_mappings=()
-
-  # Local design libraries
-  local_libs=()
-
-  if [[ 0 == ${#local_libs[@]} ]]; then
-    return
-  fi
-
-  file="xsim.ini"
-  file_backup="xsim.ini.bak"
-
-  if [[ -e $file ]]; then
-    rm -f $file_backup
-    # Create a backup copy of the xsim.ini file
-    cp $file $file_backup
-    # Read libraries from backup file and search in local library collection
-    while read -r line
-    do
-      IN=$line
-      # Split mapping entry with '=' delimiter to fetch library name and mapping
-      read lib_name mapping <<<$(IFS="="; echo $IN)
-      # If local library found, then construct the local mapping and add to local mapping collection
-      if `echo ${local_libs[@]} | grep -wq $lib_name` ; then
-        line="$lib_name=xsim.dir/$lib_name"
-        local_mappings+=("$lib_name")
-      fi
-      # Add to updated library mapping collection
-      updated_mappings+=("$line")
-    done < "$file_backup"
-    # Append local libraries not found originally from xsim.ini
-    for (( i=0; i<${#local_libs[*]}; i++ )); do
-      lib_name="${local_libs[i]}"
-      if `echo ${local_mappings[@]} | grep -wvq $lib_name` ; then
-        line="$lib_name=xsim.dir/$lib_name"
-        updated_mappings+=("$line")
-      fi
-    done
-    # Write updated mappings in xsim.ini
-    rm -f $file
-    for (( i=0; i<${#updated_mappings[*]}; i++ )); do
-      lib_name="${updated_mappings[i]}"
-      echo $lib_name >> $file
-    done
-  else
-    for (( i=0; i<${#local_libs[*]}; i++ )); do
-      lib_name="${local_libs[i]}"
-      mapping="$lib_name=xsim.dir/$lib_name"
-      echo $mapping >> $file
-    done
-  fi
-}
-
-# Delete generated data from the previous run
-reset_run()
-{
-  files_to_remove=(xelab.pb xsim.jou xvhdl.log xvlog.log compile.log elaborate.log simulate.log xelab.log xsim.log run.log xvhdl.pb xvlog.pb MeasDataFifo.wdb xsim.dir)
-  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
-    file="${files_to_remove[i]}"
-    if [[ -e $file ]]; then
-      rm -rf $file
-    fi
-  done
-}
-
-# Check command line arguments
-check_args()
-{
-  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
-    echo -e "ERROR: Unknown option specified '$2' (type \"./MeasDataFifo.sh -help\" for more information)\n"
-    exit 1
-  fi
-
-  if [[ ($2 == "-help" || $2 == "-h") ]]; then
-    usage
-  fi
-}
-
-# Script usage
-usage()
-{
-  msg="Usage: MeasDataFifo.sh [-help]\n\
-Usage: MeasDataFifo.sh [-lib_map_path]\n\
-Usage: MeasDataFifo.sh [-reset_run]\n\
-Usage: MeasDataFifo.sh [-noclean_files]\n\n\
-[-help] -- Print help information for this script\n\n\
-[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
-using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
-[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
-from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
--noclean_files switch.\n\n\
-[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
-  echo -e $msg
-  exit 1
-}
-
-# Launch script
-run $1 $2

+ 0 - 49
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/README.txt

@@ -1,49 +0,0 @@
-################################################################################
-# Vivado (TM) v2020.2 (64-bit)
-#
-# README.txt: Please read the sections below to understand the steps required to
-#             run the exported script and information about the source files.
-#
-# Generated by export_simulation on Wed May 03 12:25:18 +0700 2023
-#
-################################################################################
-
-1. How to run the generated simulation script:-
-
-From the shell prompt in the current directory, issue the following command:-
-
-./MeasDataFifo.sh
-
-This command will launch the 'compile', 'elaborate' and 'simulate' functions
-implemented in the script file for the 3-step flow. These functions are called
-from the main 'run' function in the script file.
-
-The 'run' function first executes the 'setup' function, the purpose of which is to
-create simulator specific setup files, create design library mappings and library
-directories and copy 'glbl.v' from the Vivado software install location into the
-current directory.
-
-The 'setup' function is also used for removing the simulator generated data in
-order to reset the current directory to the original state when export_simulation
-was launched from Vivado. This generated data can be removed by specifying the
-'-reset_run' switch to the './MeasDataFifo.sh' script.
-
-./MeasDataFifo.sh -reset_run
-
-To keep the generated data from the previous run but regenerate the setup files and
-library directories, use the '-noclean_files' switch.
-
-./MeasDataFifo.sh -noclean_files
-
-For more information on the script, please type './MeasDataFifo.sh -help'.
-
-2. Additional design information files:-
-
-export_simulation generates following additional file that can be used for fetching
-the design files information or for integrating with external custom scripts.
-
-Name   : file_info.txt
-Purpose: This file contains detail design file information based on the compile order
-         when export_simulation was executed from Vivado. The file contains information
-         about the file type, name, whether it is part of the IP, associated library
-         and the file path information.

+ 0 - 12
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/cmd.tcl

@@ -1,12 +0,0 @@
-set curr_wave [current_wave_config]
-if { [string length $curr_wave] == 0 } {
-  if { [llength [get_objects]] > 0} {
-    add_wave /
-    set_property needs_save false [current_wave_config]
-  } else {
-     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
-  }
-}
-
-run -all
-quit

+ 0 - 1
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/elab.opt

@@ -1 +0,0 @@
---relax --debug typical --mt auto -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot MeasDataFifo xil_defaultlib.MeasDataFifo xil_defaultlib.glbl -log elaborate.log

+ 0 - 2
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/file_info.txt

@@ -1,2 +0,0 @@
-MeasDataFifo_sim_netlist.v,verilog,xil_defaultlib,../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v,
-glbl.v,Verilog,xil_defaultlib,glbl.v

+ 0 - 84
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/glbl.v

@@ -1,84 +0,0 @@
-// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
-`ifndef GLBL
-`define GLBL
-`timescale  1 ps / 1 ps
-
-module glbl ();
-
-    parameter ROC_WIDTH = 100000;
-    parameter TOC_WIDTH = 0;
-    parameter GRES_WIDTH = 10000;
-    parameter GRES_START = 10000;
-
-//--------   STARTUP Globals --------------
-    wire GSR;
-    wire GTS;
-    wire GWE;
-    wire PRLD;
-    wire GRESTORE;
-    tri1 p_up_tmp;
-    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
-
-    wire PROGB_GLBL;
-    wire CCLKO_GLBL;
-    wire FCSBO_GLBL;
-    wire [3:0] DO_GLBL;
-    wire [3:0] DI_GLBL;
-   
-    reg GSR_int;
-    reg GTS_int;
-    reg PRLD_int;
-    reg GRESTORE_int;
-
-//--------   JTAG Globals --------------
-    wire JTAG_TDO_GLBL;
-    wire JTAG_TCK_GLBL;
-    wire JTAG_TDI_GLBL;
-    wire JTAG_TMS_GLBL;
-    wire JTAG_TRST_GLBL;
-
-    reg JTAG_CAPTURE_GLBL;
-    reg JTAG_RESET_GLBL;
-    reg JTAG_SHIFT_GLBL;
-    reg JTAG_UPDATE_GLBL;
-    reg JTAG_RUNTEST_GLBL;
-
-    reg JTAG_SEL1_GLBL = 0;
-    reg JTAG_SEL2_GLBL = 0 ;
-    reg JTAG_SEL3_GLBL = 0;
-    reg JTAG_SEL4_GLBL = 0;
-
-    reg JTAG_USER_TDO1_GLBL = 1'bz;
-    reg JTAG_USER_TDO2_GLBL = 1'bz;
-    reg JTAG_USER_TDO3_GLBL = 1'bz;
-    reg JTAG_USER_TDO4_GLBL = 1'bz;
-
-    assign (strong1, weak0) GSR = GSR_int;
-    assign (strong1, weak0) GTS = GTS_int;
-    assign (weak1, weak0) PRLD = PRLD_int;
-    assign (strong1, weak0) GRESTORE = GRESTORE_int;
-
-    initial begin
-	GSR_int = 1'b1;
-	PRLD_int = 1'b1;
-	#(ROC_WIDTH)
-	GSR_int = 1'b0;
-	PRLD_int = 1'b0;
-    end
-
-    initial begin
-	GTS_int = 1'b1;
-	#(TOC_WIDTH)
-	GTS_int = 1'b0;
-    end
-
-    initial begin 
-	GRESTORE_int = 1'b0;
-	#(GRES_START);
-	GRESTORE_int = 1'b1;
-	#(GRES_WIDTH);
-	GRESTORE_int = 1'b0;
-    end
-
-endmodule
-`endif

+ 0 - 6
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/vlog.prj

@@ -1,6 +0,0 @@
-verilog xil_defaultlib  \
-"../../../../S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v" \
-
-verilog xil_defaultlib "glbl.v"
-
-nosort

+ 0 - 497
S5443_M/S5443.ip_user_files/sim_scripts/MeasDataFifo/xsim/xsim.ini

@@ -1,497 +0,0 @@
-std=$RDI_DATADIR/xsim/vhdl/std
-ieee=$RDI_DATADIR/xsim/vhdl/ieee
-ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed
-vl=$RDI_DATADIR/xsim/vhdl/vl
-synopsys=$RDI_DATADIR/xsim/vhdl/synopsys
-uvm=$RDI_DATADIR/xsim/system_verilog/uvm
-secureip=$RDI_DATADIR/xsim/verilog/secureip
-unisim=$RDI_DATADIR/xsim/vhdl/unisim
-unimacro=$RDI_DATADIR/xsim/vhdl/unimacro
-unifast=$RDI_DATADIR/xsim/vhdl/unifast
-unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver
-unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver
-unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver
-simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver
-axi_clock_converter_v2_1_21=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_21
-axis_dbg_stub_v1_0_0=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_0
-xlconcat_v2_1_4=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_4
-lte_fft_v2_0_20=$RDI_DATADIR/xsim/ip/lte_fft_v2_0_20
-axi_remapper_rx_v1_0_0=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_0
-noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0
-lut_buffer_v1_0_0=$RDI_DATADIR/xsim/ip/lut_buffer_v1_0_0
-system_cache_v5_0_3=$RDI_DATADIR/xsim/ip/system_cache_v5_0_3
-rld3_pl_v1_0_4=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_4
-ernic_v3_0_0=$RDI_DATADIR/xsim/ip/ernic_v3_0_0
-xfft_v9_1_5=$RDI_DATADIR/xsim/ip/xfft_v9_1_5
-pr_axi_shutdown_manager_v1_0_2=$RDI_DATADIR/xsim/ip/pr_axi_shutdown_manager_v1_0_2
-axi_dwidth_converter_v2_1_22=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_22
-shell_utils_addr_remap_v1_0_1=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_1
-v_hdmi_rx1_v1_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_0
-ieee802d3_rs_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v1_0_18
-mult_gen_v12_0_16=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_16
-processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6
-noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0
-axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1
-lib_bmg_v1_0_13=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_13
-xbip_bram18k_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_6
-cordic_v6_0_16=$RDI_DATADIR/xsim/ip/cordic_v6_0_16
-tmr_sem_v1_0_15=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_15
-axis_dwidth_converter_v1_1_21=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_21
-xlslice_v1_0_2=$RDI_DATADIR/xsim/ip/xlslice_v1_0_2
-xtlm=$RDI_DATADIR/xsim/ip/xtlm
-rs_encoder_v9_0_16=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_16
-axis_ila_ct_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_0
-v_uhdsdi_audio_v1_1_0=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v1_1_0
-v_tpg_v8_1_0=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_0
-c_counter_binary_v12_0_14=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_14
-common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1
-axis_switch_v1_1_22=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_22
-rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1
-rs_toolbox_v9_0_8=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_8
-v_letterbox_v1_1_0=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_0
-high_speed_selectio_wiz_v3_6_1=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_1
-axi_chip2chip_v5_0_9=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_9
-v_demosaic_v1_1_0=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_0
-v_multi_scaler_v1_2_0=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_0
-ieee802d3_200g_rs_fec_v2_0_0=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_0
-axi_memory_init_v1_0_3=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_3
-high_speed_selectio_wiz_v3_4_1=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_4_1
-duc_ddc_compiler_v3_0_15=$RDI_DATADIR/xsim/ip/duc_ddc_compiler_v3_0_15
-ai_noc=$RDI_DATADIR/xsim/ip/ai_noc
-bs_mux_v1_0_0=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_0
-ecc_v2_0_13=$RDI_DATADIR/xsim/ip/ecc_v2_0_13
-axis_interconnect_v1_1_18=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_18
-cmac_v2_6_2=$RDI_DATADIR/xsim/ip/cmac_v2_6_2
-high_speed_selectio_wiz_v3_3_1=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_3_1
-axi_pcie_v2_9_4=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_4
-rld3_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_0
-sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0
-v_axi4s_remap_v1_0_14=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_0_14
-system_cache_v4_0_6=$RDI_DATADIR/xsim/ip/system_cache_v4_0_6
-axi_vfifo_ctrl_v2_0_24=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_24
-ernic_v1_0_2=$RDI_DATADIR/xsim/ip/ernic_v1_0_2
-multi_channel_25g_rs_fec_v1_0_11=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_11
-oddr_v1_0_2=$RDI_DATADIR/xsim/ip/oddr_v1_0_2
-mem_pl_v1_0_0=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_0
-fit_timer_v2_0_10=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_10
-v_axi4s_vid_out_v4_0_11=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_11
-v_letterbox_v1_0_16=$RDI_DATADIR/xsim/ip/v_letterbox_v1_0_16
-clk_gen_sim_v1_0_0=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_0
-lut_buffer_v2_0_0=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_0
-axi_traffic_gen_v2_0_23=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v2_0_23
-gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux
-lte_rach_detector_v3_1_8=$RDI_DATADIR/xsim/ip/lte_rach_detector_v3_1_8
-lte_fft_v2_1_3=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_3
-g709_rs_decoder_v2_2_9=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_9
-lte_3gpp_channel_estimator_v2_0_17=$RDI_DATADIR/xsim/ip/lte_3gpp_channel_estimator_v2_0_17
-g975_efec_i4_v1_0_18=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_18
-stm_v1_0_0=$RDI_DATADIR/xsim/ip/stm_v1_0_0
-xbip_pipe_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_6
-axi_perf_mon_v5_0_24=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_24
-axi_timebase_wdt_v3_0_14=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_14
-fec_5g_common_v1_0_1=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_0_1
-g709_fec_v2_4_2=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_2
-v_scenechange_v1_0_4=$RDI_DATADIR/xsim/ip/v_scenechange_v1_0_4
-displayport_v8_1_3=$RDI_DATADIR/xsim/ip/displayport_v8_1_3
-spdif_v2_0_23=$RDI_DATADIR/xsim/ip/spdif_v2_0_23
-dp_videoaxi4s_bridge_v1_0_1=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_1
-noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0
-sem_v4_1_13=$RDI_DATADIR/xsim/ip/sem_v4_1_13
-gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4
-axi_mmu_v2_1_20=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_20
-nvmeha_v1_0_3=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_3
-v_vid_in_axi4s_v4_0_9=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_9
-v_gamma_lut_v1_0_8=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_0_8
-axi_traffic_gen_v3_0_8=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_8
-tmr_inject_v1_0_4=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_4
-axis_accelerator_adapter_v2_1_16=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_16
-hdcp22_rng_v1_0_1=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_1
-rama_v1_1_7_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_7_lib
-trace_s2mm_v1_0_0=$RDI_DATADIR/xsim/ip/trace_s2mm_v1_0_0
-xbip_counter_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_6
-v_mix_v5_1_0=$RDI_DATADIR/xsim/ip/v_mix_v5_1_0
-dft_v4_2_1=$RDI_DATADIR/xsim/ip/dft_v4_2_1
-ta_dma_v1_0_6=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_6
-blk_mem_gen_v8_4_4=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_4
-axi_ethernet_buffer_v2_0_23=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_23
-stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0
-lte_3gpp_mimo_decoder_v3_0_16=$RDI_DATADIR/xsim/ip/lte_3gpp_mimo_decoder_v3_0_16
-ieee802d3_50g_rs_fec_v2_0_6=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_6
-xbip_dsp48_acc_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_6
-axi_sg_v4_1_13=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_13
-v_hdmi_tx1_v1_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_0
-v_hdmi_tx_v2_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v2_0_0
-v_tc_v6_2_1=$RDI_DATADIR/xsim/ip/v_tc_v6_2_1
-noc_nsu_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_v1_0_0
-high_speed_selectio_wiz_v3_2_3=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_2_3
-qdriv_pl_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_2
-axi_uart16550_v2_0_24=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_24
-microblaze_v11_0_4=$RDI_DATADIR/xsim/ip/microblaze_v11_0_4
-advanced_io_wizard_phy_v1_0_0=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_0
-mdm_v3_2_19=$RDI_DATADIR/xsim/ip/mdm_v3_2_19
-versal_cips_ps_vip_v1_0_0=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_0
-v_hcresampler_v1_0_16=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_0_16
-lte_3gpp_mimo_encoder_v4_0_15=$RDI_DATADIR/xsim/ip/lte_3gpp_mimo_encoder_v4_0_15
-axis_clock_converter_v1_1_23=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_23
-v_scenechange_v1_1_0=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_0
-mpegtsmux_v1_0_2=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_0_2
-axi_sideband_util_v1_0_6=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_6
-dsp_macro_v1_0_1=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_1
-ll_compress_v1_0_0=$RDI_DATADIR/xsim/ip/ll_compress_v1_0_0
-sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0
-gtwizard_ultrascale_v1_7_9=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_9
-vid_phy_controller_v2_1_9=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_1_9
-ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig
-axi_apb_bridge_v3_0_17=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_17
-xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0
-rst_vip_v1_0_4=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_4
-xbip_dsp48_multadd_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_6
-canfd_v3_0_1=$RDI_DATADIR/xsim/ip/canfd_v3_0_1
-axis_broadcaster_v1_1_21=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_21
-mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2
-lib_cdc_v1_0_2=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_2
-pr_bitstream_monitor_v1_0_2=$RDI_DATADIR/xsim/ip/pr_bitstream_monitor_v1_0_2
-amm_axi_bridge_v1_0_8=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_8
-canfd_v2_0_4=$RDI_DATADIR/xsim/ip/canfd_v2_0_4
-polar_v1_0_6=$RDI_DATADIR/xsim/ip/polar_v1_0_6
-can_v5_0_25=$RDI_DATADIR/xsim/ip/can_v5_0_25
-axi_timer_v2_0_24=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_24
-jesd204_v7_2_10=$RDI_DATADIR/xsim/ip/jesd204_v7_2_10
-axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0
-c_mux_bit_v12_0_6=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_6
-axi_firewall_v1_1_1=$RDI_DATADIR/xsim/ip/axi_firewall_v1_1_1
-v_axi4s_remap_v1_1_0=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_0
-adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0
-microblaze_mcs_v2_3_6=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_6
-axi_tg_lib=$RDI_DATADIR/xsim/ip/axi_tg_lib
-dfx_controller_v1_0_1=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_1
-cic_compiler_v4_0_15=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_15
-processing_system7_vip_v1_0_10=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_10
-axi_intc_v4_1_15=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_15
-audio_clock_recovery_unit_v1_0_2=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_2
-axi_protocol_converter_v2_1_22=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_22
-remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4
-versal_cips_v2_1_0=$RDI_DATADIR/xsim/ip/versal_cips_v2_1_0
-g975_efec_i7_v2_0_18=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_18
-v_hscaler_v1_1_0=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_0
-axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4
-pcie_dma_versal_v2_0_1=$RDI_DATADIR/xsim/ip/pcie_dma_versal_v2_0_1
-v_tpg_v8_0_4=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_4
-c_compare_v12_0_6=$RDI_DATADIR/xsim/ip/c_compare_v12_0_6
-viterbi_v9_1_12=$RDI_DATADIR/xsim/ip/viterbi_v9_1_12
-pr_decoupler_v1_0_9=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_9
-axi_bram_ctrl_v4_1_4=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_4
-fc32_rs_fec_v1_0_16=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_16
-pcie_jtag_v1_0_0=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_0
-axi_register_slice_v2_1_22=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_22
-dfx_axi_shutdown_manager_v1_0_0=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_0
-soft_ecc_proxy_v1_0_0=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_0_0
-hdcp_keymngmt_blk_v1_0_0=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_0
-gig_ethernet_pcs_pma_v16_2_1=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_1
-sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0
-ddr4_pl_v1_0_3=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_3
-axi_vdma_v6_3_10=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_10
-xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0
-xbip_accum_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_6
-axi_emc_v3_0_22=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_22
-jesd204c_v4_2_3=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_3
-axi_epc_v2_0_25=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_25
-gig_ethernet_pcs_pma_v16_1_9=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_1_9
-v_vscaler_v1_1_0=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_0
-generic_baseblocks_v2_1_0=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_0
-usxgmii_v1_2_0=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_0
-ieee802d3_400g_rs_fec_v1_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v1_0_11
-dft_v4_1_1=$RDI_DATADIR/xsim/ip/dft_v4_1_1
-v_frmbuf_rd_v2_2_0=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_0
-ieee802d3_25g_rs_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_18
-ethernet_1_10_25g_v2_6_0=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_6_0
-v_frmbuf_wr_v2_2_0=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_0
-tmr_manager_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_6
-trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0
-axi_iic_v2_0_25=$RDI_DATADIR/xsim/ip/axi_iic_v2_0_25
-pc_cfr_v6_4_0=$RDI_DATADIR/xsim/ip/pc_cfr_v6_4_0
-v_tpg_v7_0_16=$RDI_DATADIR/xsim/ip/v_tpg_v7_0_16
-lmb_bram_if_cntlr_v4_0_19=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_19
-v_vcresampler_v1_0_16=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_0_16
-axi_ethernetlite_v3_0_21=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_21
-ldpc_v2_0_6=$RDI_DATADIR/xsim/ip/ldpc_v2_0_6
-c_gate_bit_v12_0_6=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_6
-v_mix_v5_0_1=$RDI_DATADIR/xsim/ip/v_mix_v5_0_1
-audio_formatter_v1_0_4=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_4
-flexo_100g_rs_fec_v1_0_16=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_16
-uram_rd_back_v1_0_1=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_1
-ptp_1588_timer_syncer_v1_0_1=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v1_0_1
-ieee802d3_clause74_fec_v1_0_8=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_8
-axis_cap_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_0
-common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0
-xlconstant_v1_1_7=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_7
-xsdbm_v2_0_0=$RDI_DATADIR/xsim/ip/xsdbm_v2_0_0
-etrnic_v1_1_3=$RDI_DATADIR/xsim/ip/etrnic_v1_1_3
-pci64_v5_0_11=$RDI_DATADIR/xsim/ip/pci64_v5_0_11
-axi_gpio_v2_0_24=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_24
-dfx_decoupler_v1_0_1=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_1
-tcc_encoder_3gpplte_v4_0_16=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_16
-axi_ahblite_bridge_v3_0_19=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_19
-in_system_ibert_v1_0_12=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_12
-axis_register_slice_v1_1_22=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_22
-util_idelay_ctrl_v1_0_2=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_2
-xsdbm_v3_0_0=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_0
-pci32_v5_0_12=$RDI_DATADIR/xsim/ip/pci32_v5_0_12
-v_vid_sdi_tx_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_0
-axi_cdma_v4_1_22=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_22
-axi_master_burst_v2_0_7=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_7
-hdcp22_cipher_dp_v1_0_0=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_0
-v_hcresampler_v1_1_0=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_0
-sid_v8_0_15=$RDI_DATADIR/xsim/ip/sid_v8_0_15
-ahblite_axi_bridge_v3_0_17=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_17
-zynq_ultra_ps_e_v3_3_3=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_3
-timer_sync_1588_v1_2_4=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_4
-axi4svideo_bridge_v1_0_11=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_11
-xbip_multadd_v3_0_15=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_15
-axis_data_fifo_v1_1_23=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_23
-c_shift_ram_v12_0_14=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_14
-xbip_dsp48_mult_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_6
-noc_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_0
-gtwizard_ultrascale_v1_6_10=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_10
-axis_data_fifo_v2_0_4=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_4
-rs_decoder_v9_0_17=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_17
-i2s_receiver_v1_0_4=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_4
-perf_axi_tg_v1_0_11=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_11
-interlaken_v2_4_7=$RDI_DATADIR/xsim/ip/interlaken_v2_4_7
-xfft_v7_2_11=$RDI_DATADIR/xsim/ip/xfft_v7_2_11
-smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0
-g709_fec_v2_3_6=$RDI_DATADIR/xsim/ip/g709_fec_v2_3_6
-axis_ila_intf_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_0
-tsn_endpoint_ethernet_mac_block_v1_0_7=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_7
-v_hdmi_rx_v2_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v2_0_0
-v_uhdsdi_audio_v2_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_3
-axi_utils_v2_0_6=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_6
-sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1
-vid_edid_v1_0_0=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_0
-lte_dl_channel_encoder_v3_0_16=$RDI_DATADIR/xsim/ip/lte_dl_channel_encoder_v3_0_16
-axi_dma_v7_1_23=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_23
-emb_fifo_gen_v1_0_2=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_2
-c_mux_bus_v12_0_6=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_6
-axi_mm2s_mapper_v1_1_21=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_21
-tcc_encoder_3gpp_v5_0_16=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_16
-av_pat_gen_v2_0_0=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_0
-srio_gen2_v4_1_9=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_9
-fifo_generator_v13_0_6=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_6
-ten_gig_eth_mac_v15_1_9=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_9
-dfx_bitstream_monitor_v1_0_0=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_0
-displayport_v7_0_0=$RDI_DATADIR/xsim/ip/displayport_v7_0_0
-v_vcresampler_v1_1_0=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_0
-axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1
-l_ethernet_v3_2_0=$RDI_DATADIR/xsim/ip/l_ethernet_v3_2_0
-xxv_ethernet_v3_3_0=$RDI_DATADIR/xsim/ip/xxv_ethernet_v3_3_0
-xpm=$RDI_DATADIR/xsim/ip/xpm
-nvme_tc_v2_0_0=$RDI_DATADIR/xsim/ip/nvme_tc_v2_0_0
-ieee802d3_200g_rs_fec_v1_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v1_0_11
-ats_switch_v1_0_3=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_3
-axi_data_fifo_v2_1_21=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_21
-zynq_ultra_ps_e_vip_v1_0_8=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_8
-fifo_generator_v13_1_4=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_4
-mutex_v2_1_11=$RDI_DATADIR/xsim/ip/mutex_v2_1_11
-lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0
-sim_rst_gen_v1_0_2=$RDI_DATADIR/xsim/ip/sim_rst_gen_v1_0_2
-xbip_dsp48_addsub_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_6
-floating_point_v7_0_18=$RDI_DATADIR/xsim/ip/floating_point_v7_0_18
-v_smpte_uhdsdi_v1_0_8=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_8
-axis_vio_v1_0_2=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_2
-ieee802d3_rs_fec_v2_0_10=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_10
-lte_ul_channel_decoder_v4_0_17=$RDI_DATADIR/xsim/ip/lte_ul_channel_decoder_v4_0_17
-xbip_utils_v3_0_10=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_10
-aes_v1_1_2=$RDI_DATADIR/xsim/ip/aes_v1_1_2
-div_gen_v5_1_17=$RDI_DATADIR/xsim/ip/div_gen_v5_1_17
-v_smpte_sdi_v3_0_9=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_9
-lte_dl_channel_encoder_v4_0_2=$RDI_DATADIR/xsim/ip/lte_dl_channel_encoder_v4_0_2
-tcc_decoder_3gppmm_v2_0_20=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_20
-axis_protocol_checker_v2_0_6=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_6
-fir_compiler_v5_2_6=$RDI_DATADIR/xsim/ip/fir_compiler_v5_2_6
-av_pat_gen_v1_0_1=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_1
-xbip_dsp48_multacc_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_multacc_v3_0_6
-advanced_io_wizard_v1_0_3=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_3
-v_tc_v6_1_13=$RDI_DATADIR/xsim/ip/v_tc_v6_1_13
-xpm_cdc_gen_v1_0_0=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_0
-mailbox_v2_1_14=$RDI_DATADIR/xsim/ip/mailbox_v2_1_14
-uhdsdi_gt_v2_0_3=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_0_3
-lib_pkg_v1_0_2=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_2
-noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0
-v_vid_gt_bridge_v1_0_1=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v1_0_1
-tri_mode_ethernet_mac_v9_0_17=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_17
-axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0
-axi_jtag_v1_0_0=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_0
-emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0
-dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf
-clk_vip_v1_0_2=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_2
-axi_stream_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_0
-mipi_dsi_tx_ctrl_v1_0_7=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_7
-axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0
-debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1
-pc_cfr_v6_3_2=$RDI_DATADIR/xsim/ip/pc_cfr_v6_3_2
-gmii_to_rgmii_v4_1_0=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_0
-ernic_v2_0_0=$RDI_DATADIR/xsim/ip/ernic_v2_0_0
-accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0
-xbip_dsp48_wrapper_v3_0_4=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_4
-axi_pcie3_v3_0_13=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_13
-v_uhdsdi_audio_v1_0_1=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v1_0_1
-v_dp_axi4s_vid_out_v1_0_1=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_1
-axi_mcdma_v1_1_3=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_3
-dist_mem_gen_v8_0_13=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_13
-sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1
-v_frmbuf_rd_v2_1_5=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_1_5
-v_frmbuf_wr_v2_1_5=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_1_5
-axi_crossbar_v2_1_23=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_23
-qdma_v4_0_2=$RDI_DATADIR/xsim/ip/qdma_v4_0_2
-v_hdmi_phy1_v1_0_2=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_2
-hdcp_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp_v1_0_3
-v_smpte_uhdsdi_tx_v1_0_0=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_0
-xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0
-ten_gig_eth_pcs_pma_v6_0_18=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_18
-interrupt_control_v3_1_4=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_4
-axi_protocol_checker_v2_0_8=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_8
-sync_ip=$RDI_DATADIR/xsim/ip/sync_ip
-util_reduced_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_4
-util_vector_logic_v2_0_1=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_1
-ba317=$RDI_DATADIR/xsim/ip/ba317
-xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0
-high_speed_selectio_wiz_v3_5_2=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_5_2
-quadsgmii_v3_5_0=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_0
-vby1hs_v1_0_0=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_0
-pc_cfr_v6_1_4=$RDI_DATADIR/xsim/ip/pc_cfr_v6_1_4
-vid_phy_controller_v2_2_7=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_7
-videoaxi4s_bridge_v1_0_5=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_5
-fir_compiler_v7_2_15=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_15
-jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi
-hdmi_gt_controller_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_3
-oran_radio_if_v1_1_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v1_1_0
-v_deinterlacer_v5_1_0=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_0
-xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip
-trace_s2mm_v1_1_0=$RDI_DATADIR/xsim/ip/trace_s2mm_v1_1_0
-remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4
-lte_pucch_receiver_v2_0_18=$RDI_DATADIR/xsim/ip/lte_pucch_receiver_v2_0_18
-v_smpte_uhdsdi_rx_v1_0_0=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_0
-v_csc_v1_0_16=$RDI_DATADIR/xsim/ip/v_csc_v1_0_16
-ieee802d3_50g_rs_fec_v1_0_14=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_14
-emb_mem_gen_v1_0_3=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_3
-lib_srl_fifo_v1_0_2=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_2
-axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0
-mem_tg_v1_0_3=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_3
-mipi_csi2_tx_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_4
-emc_common_v3_0_5=$RDI_DATADIR/xsim/ip/emc_common_v3_0_5
-dds_compiler_v6_0_20=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_20
-icap_arb_v1_0_0=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_0
-axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0
-i2s_transmitter_v1_0_4=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_4
-axi_hbicap_v1_0_3=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_3
-v_hdmi_rx_v3_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_0
-picxo=$RDI_DATADIR/xsim/ip/picxo
-axi_pmon_v1_0_0=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_0
-c_addsub_v12_0_14=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_14
-axi_uartlite_v2_0_26=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_26
-axi_fifo_mm_s_v4_2_4=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_2_4
-mammoth_transcode_v1_0_0=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_0
-tmr_voter_v1_0_3=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_3
-axi_interconnect_v1_7_18=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_18
-v_hscaler_v1_0_16=$RDI_DATADIR/xsim/ip/v_hscaler_v1_0_16
-v_vscaler_v1_0_16=$RDI_DATADIR/xsim/ip/v_vscaler_v1_0_16
-g709_rs_encoder_v2_2_7=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_7
-tmr_comparator_v1_0_4=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_4
-mipi_dphy_v4_3_0=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_0
-prc_v1_3_4=$RDI_DATADIR/xsim/ip/prc_v1_3_4
-fifo_generator_v13_2_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_5
-iomodule_v3_1_6=$RDI_DATADIR/xsim/ip/iomodule_v3_1_6
-axi4stream_vip_v1_1_8=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_8
-v_deinterlacer_v5_0_16=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_0_16
-axi_remapper_tx_v1_0_0=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_0
-axis_ila_pp_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_0
-pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0
-axi_vip_v1_1_8=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_8
-mipi_csi2_rx_ctrl_v1_0_8=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_8
-axi_datamover_v5_1_24=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_24
-v_gamma_lut_v1_1_0=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_0
-axis_itct_v1_0_0=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_0
-axi_quad_spi_v3_2_21=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_21
-sim_trig_v1_0_4=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_4
-axis_combiner_v1_1_20=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_20
-displayport_v9_0_3=$RDI_DATADIR/xsim/ip/displayport_v9_0_3
-blk_mem_gen_v8_3_6=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_6
-sim_clk_gen_v1_0_2=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_2
-v_dual_splitter_v1_0_9=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_9
-v_sdi_rx_vid_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_0
-compact_gt_v1_0_8=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_8
-zynq_ultra_ps_e_v3_2_6=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_2_6
-axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0
-xhmc_v1_0_12=$RDI_DATADIR/xsim/ip/xhmc_v1_0_12
-lib_fifo_v1_0_14=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_14
-cpri_v8_11_5=$RDI_DATADIR/xsim/ip/cpri_v8_11_5
-proc_sys_reset_v5_0_13=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_13
-axis_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_0
-tsn_temac_v1_0_5=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_5
-video_frame_crc_v1_0_3=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_3
-axi_amm_bridge_v1_0_12=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_12
-xsdbs_v1_0_2=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_2
-qdriv_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_0
-floating_point_v7_1_11=$RDI_DATADIR/xsim/ip/floating_point_v7_1_11
-axi_tft_v2_0_23=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_23
-noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0
-lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0
-pc_cfr_v6_0_8=$RDI_DATADIR/xsim/ip/pc_cfr_v6_0_8
-ltlib_v1_0_0=$RDI_DATADIR/xsim/ip/ltlib_v1_0_0
-v_demosaic_v1_0_8=$RDI_DATADIR/xsim/ip/v_demosaic_v1_0_8
-roe_framer_v3_0_1=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_1
-c_accum_v12_0_14=$RDI_DATADIR/xsim/ip/c_accum_v12_0_14
-pc_cfr_v6_2_2=$RDI_DATADIR/xsim/ip/pc_cfr_v6_2_2
-xbip_dsp48_macro_v3_0_18=$RDI_DATADIR/xsim/ip/xbip_dsp48_macro_v3_0_18
-axis_ila_adv_trig_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_0
-axi_usb2_device_v5_0_23=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_23
-axi_hwicap_v3_0_26=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_26
-axis_mu_v1_0_0=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_0
-audio_tpg_v1_0_0=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_0
-axis_dbg_sync_v1_0_0=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_0
-microblaze_v10_0_7=$RDI_DATADIR/xsim/ip/microblaze_v10_0_7
-sd_fec_v1_1_6=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_6
-uhdsdi_gt_v1_0_3=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v1_0_3
-bsip_v1_1_0=$RDI_DATADIR/xsim/ip/bsip_v1_1_0
-xfft_v9_0_19=$RDI_DATADIR/xsim/ip/xfft_v9_0_19
-etrnic_v1_0_4=$RDI_DATADIR/xsim/ip/etrnic_v1_0_4
-mpegtsmux_v1_1_0=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_0
-lmb_v10_v3_0_11=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_11
-dft_v4_0_16=$RDI_DATADIR/xsim/ip/dft_v4_0_16
-axi_mcdma_v1_0_8=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_0_8
-ibert_lib_v1_0_7=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_7
-sem_ultra_v3_1_16=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_16
-pcie_axi4lite_tap_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_1
-cmac_usplus_v3_1_2=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_2
-axi_bram_ctrl_v4_0_14=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_14
-ieee802d3_400g_rs_fec_v2_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v2_0_2
-switch_core_top_v1_0_8=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_8
-v_multi_scaler_v1_0_4=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_0_4
-v_hdmi_tx_v3_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_0
-tcc_decoder_3gpplte_v3_0_6=$RDI_DATADIR/xsim/ip/tcc_decoder_3gpplte_v3_0_6
-convolution_v9_0_15=$RDI_DATADIR/xsim/ip/convolution_v9_0_15
-iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0
-fec_5g_common_v1_1_1=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_1
-axis_subset_converter_v1_1_22=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_22
-axi_msg_v1_0_6=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_6
-axis_mem_v1_0_0=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_0
-aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0
-axi_fifo_mm_s_v4_1_19=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_1_19
-ai_pl=$RDI_DATADIR/xsim/ip/ai_pl
-xbip_addsub_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_6
-c_reg_fd_v12_0_6=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_6
-shell_utils_msp432_bsl_crc_gen_v1_0_0=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_0
-xdma_v4_1_8=$RDI_DATADIR/xsim/ip/xdma_v4_1_8
-hdcp22_cipher_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_3
-microblaze_v9_5_4=$RDI_DATADIR/xsim/ip/microblaze_v9_5_4
-v_csc_v1_1_0=$RDI_DATADIR/xsim/ip/v_csc_v1_1_0
-vfb_v1_0_16=$RDI_DATADIR/xsim/ip/vfb_v1_0_16
-axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub
-axi_firewall_v1_0_10=$RDI_DATADIR/xsim/ip/axi_firewall_v1_0_10
-noc_na_v1_0_0=$RDI_DATADIR/xsim/ip/noc_na_v1_0_0
-cmpy_v6_0_19=$RDI_DATADIR/xsim/ip/cmpy_v6_0_19
-mrmac_v1_3_0=$RDI_DATADIR/xsim/ip/mrmac_v1_3_0
-ddr4_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_0
-bs_switch_v1_0_0=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_0
-v_uhdsdi_vidgen_v1_0_1=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_1
-an_lt_v1_0_1=$RDI_DATADIR/xsim/ip/an_lt_v1_0_1

+ 0 - 0
S5443_M/S5443.srcs/sources_1/ip/.Xil/.MeasDataFifo.xcix.lock


binární
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.dcp


+ 0 - 72
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.veo

@@ -1,72 +0,0 @@
-// (c) Copyright 1995-2023 Xilinx, Inc. All rights reserved.
-// 
-// This file contains confidential and proprietary information
-// of Xilinx, Inc. and is protected under U.S. and
-// international copyright and other intellectual property
-// laws.
-// 
-// DISCLAIMER
-// This disclaimer is not a license and does not grant any
-// rights to the materials distributed herewith. Except as
-// otherwise provided in a valid license issued to you by
-// Xilinx, and to the maximum extent permitted by applicable
-// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-// (2) Xilinx shall not be liable (whether in contract or tort,
-// including negligence, or under any other theory of
-// liability) for any loss or damage of any kind or nature
-// related to, arising under or in connection with these
-// materials, including for any direct, or any indirect,
-// special, incidental, or consequential loss or damage
-// (including loss of data, profits, goodwill, or any type of
-// loss or damage suffered as a result of any action brought
-// by a third party) even if such damage or loss was
-// reasonably foreseeable or Xilinx had been advised of the
-// possibility of the same.
-// 
-// CRITICAL APPLICATIONS
-// Xilinx products are not designed or intended to be fail-
-// safe, or for use in any application requiring fail-safe
-// performance, such as life-support or safety devices or
-// systems, Class III medical devices, nuclear facilities,
-// applications related to the deployment of airbags, or any
-// other applications that could lead to death, personal
-// injury, or severe property or environmental damage
-// (individually and collectively, "Critical
-// Applications"). Customer assumes the sole risk and
-// liability of any use of Xilinx products in Critical
-// Applications, subject only to applicable laws and
-// regulations governing limitations on product liability.
-// 
-// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-// PART OF THIS FILE AT ALL TIMES.
-// 
-// DO NOT MODIFY THIS FILE.
-
-// IP VLNV: xilinx.com:ip:fifo_generator:13.2
-// IP Revision: 5
-
-// The following must be inserted into your Verilog file for this
-// core to be instantiated. Change the instance name and port connections
-// (in parentheses) to your own signal names.
-
-//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
-MeasDataFifo your_instance_name (
-  .clk(clk),      // input wire clk
-  .srst(srst),    // input wire srst
-  .din(din),      // input wire [255 : 0] din
-  .wr_en(wr_en),  // input wire wr_en
-  .rd_en(rd_en),  // input wire rd_en
-  .dout(dout),    // output wire [255 : 0] dout
-  .full(full),    // output wire full
-  .empty(empty)  // output wire empty
-);
-// INST_TAG_END ------ End INSTANTIATION Template ---------
-
-// You must compile the wrapper file MeasDataFifo.v when simulating
-// the core, MeasDataFifo. When compiling the wrapper file, be sure to
-// reference the Verilog simulation library.
-

+ 0 - 32
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.vho

@@ -1,89 +0,0 @@
-
-
-
-------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
-COMPONENT MeasDataFifo
-  PORT (
-    clk : IN STD_LOGIC;
-    srst : IN STD_LOGIC;
-    din : IN STD_LOGIC_VECTOR(255 DOWNTO 0);
-    wr_en : IN STD_LOGIC;
-    rd_en : IN STD_LOGIC;
-    dout : OUT STD_LOGIC_VECTOR(255 DOWNTO 0);
-    full : OUT STD_LOGIC;
-    empty : OUT STD_LOGIC
-  );
-END COMPONENT;
-
-
-------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
-your_instance_name : MeasDataFifo
-  PORT MAP (
-    clk => clk,
-    srst => srst,
-    din => din,
-    wr_en => wr_en,
-    rd_en => rd_en,
-    dout => dout,
-    full => full,
-    empty => empty
-  );
-
-

+ 0 - 64
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc

@@ -1,64 +0,0 @@
- 
- 
- 
- 
- 
-
-################################################################################
-# (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved.
-# 
-# This file contains confidential and proprietary information
-# of Xilinx, Inc. and is protected under U.S. and
-# international copyright and other intellectual property
-# laws.
-# 
-# DISCLAIMER
-# This disclaimer is not a license and does not grant any
-# rights to the materials distributed herewith. Except as
-# otherwise provided in a valid license issued to you by
-# Xilinx, and to the maximum extent permitted by applicable
-# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-# (2) Xilinx shall not be liable (whether in contract or tort,
-# including negligence, or under any other theory of
-# liability) for any loss or damage of any kind or nature
-# related to, arising under or in connection with these
-# materials, including for any direct, or any indirect,
-# special, incidental, or consequential loss or damage
-# (including loss of data, profits, goodwill, or any type of
-# loss or damage suffered as a result of any action brought
-# by a third party) even if such damage or loss was
-# reasonably foreseeable or Xilinx had been advised of the
-# possibility of the same.
-# 
-# CRITICAL APPLICATIONS
-# Xilinx products are not designed or intended to be fail-
-# safe, or for use in any application requiring fail-safe
-# performance, such as life-support or safety devices or
-# systems, Class III medical devices, nuclear facilities,
-# applications related to the deployment of airbags, or any
-# other applications that could lead to death, personal
-# injury, or severe property or environmental damage
-# (individually and collectively, "Critical
-# Applications"). Customer assumes the sole risk and
-# liability of any use of Xilinx products in Critical
-# Applications, subject only to applicable laws and
-# regulations governing limitations on product liability.
-# 
-# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-# PART OF THIS FILE AT ALL TIMES.
-#
-################################################################################
-
-#------------------------------------------------------------------------------#
-#                         Native FIFO Constraints                              #
-#------------------------------------------------------------------------------#
-
-
-
-
-################################################################################
-

+ 0 - 69
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_clocks.xdc

@@ -1,69 +0,0 @@
-################################################################################
-# (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved.
-# 
-# This file contains confidential and proprietary information
-# of Xilinx, Inc. and is protected under U.S. and
-# international copyright and other intellectual property
-# laws.
-# 
-# DISCLAIMER
-# This disclaimer is not a license and does not grant any
-# rights to the materials distributed herewith. Except as
-# otherwise provided in a valid license issued to you by
-# Xilinx, and to the maximum extent permitted by applicable
-# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-# (2) Xilinx shall not be liable (whether in contract or tort,
-# including negligence, or under any other theory of
-# liability) for any loss or damage of any kind or nature
-# related to, arising under or in connection with these
-# materials, including for any direct, or any indirect,
-# special, incidental, or consequential loss or damage
-# (including loss of data, profits, goodwill, or any type of
-# loss or damage suffered as a result of any action brought
-# by a third party) even if such damage or loss was
-# reasonably foreseeable or Xilinx had been advised of the
-# possibility of the same.
-# 
-# CRITICAL APPLICATIONS
-# Xilinx products are not designed or intended to be fail-
-# safe, or for use in any application requiring fail-safe
-# performance, such as life-support or safety devices or
-# systems, Class III medical devices, nuclear facilities,
-# applications related to the deployment of airbags, or any
-# other applications that could lead to death, personal
-# injury, or severe property or environmental damage
-# (individually and collectively, "Critical
-# Applications"). Customer assumes the sole risk and
-# liability of any use of Xilinx products in Critical
-# Applications, subject only to applicable laws and
-# regulations governing limitations on product liability.
-# 
-# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-# PART OF THIS FILE AT ALL TIMES.
-#
-################################################################################
-#------------------------------------------------------------------------------#
-#                         Native FIFO Constraints                              #
-#------------------------------------------------------------------------------#
-
-#set wr_clock          [get_clocks -of_objects [get_ports wr_clk]]
-#set rd_clock          [get_clocks -of_objects [get_ports rd_clk]]
-#set wr_clk_period     [get_property PERIOD $wr_clock]
-#set rd_clk_period     [get_property PERIOD $rd_clock]
-#set skew_value [expr {(($wr_clk_period < $rd_clk_period) ? $wr_clk_period : $rd_clk_period)} ]
-
-
-# Set max delay on cross clock domain path for Block/Distributed RAM based FIFO
-
-## set_max_delay -from [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*rd_pntr_gc_reg[*]] -to [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*gsync_stage[1].wr_stg_inst/Q_reg_reg[*]] -datapath_only [get_property -min PERIOD $rd_clock]
-## set_bus_skew -from [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*rd_pntr_gc_reg[*]] -to [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*gsync_stage[1].wr_stg_inst/Q_reg_reg[*]] $skew_value
-
-## set_max_delay -from [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*wr_pntr_gc_reg[*]] -to [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*gsync_stage[1].rd_stg_inst/Q_reg_reg[*]] -datapath_only [get_property -min PERIOD $wr_clock]
-## set_bus_skew -from [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*wr_pntr_gc_reg[*]] -to [get_cells inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gcx.clkx/*gsync_stage[1].rd_stg_inst/Q_reg_reg[*]] $skew_value
-#set_false_path -from [get_cells -hierarchical -filter {NAME =~ *gsckt_wrst.gic_rst.sckt_wrst_i_reg}] -to [get_cells -hierarchical -filter {NAME =~ *gsckt_wrst.gic_rst.garst_sync_ic[1].rd_rst_inst/Q_reg_reg[0]}]
-#set_false_path -from [get_cells -hierarchical -filter {NAME =~ *gsckt_wrst.gic_rst.garst_sync_ic[3].rd_rst_inst/Q_reg_reg[0]}] -to [get_cells -hierarchical -filter {NAME =~ *gsckt_wrst.gic_rst.garst_sync_ic[1].rd_rst_wr_inst/Q_reg_reg[0]}]
-################################################################################

+ 0 - 57
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_ooc.xdc

@@ -1,57 +0,0 @@
-# (c) Copyright 2012-2023 Xilinx, Inc. All rights reserved.
-# 
-# This file contains confidential and proprietary information
-# of Xilinx, Inc. and is protected under U.S. and
-# international copyright and other intellectual property
-# laws.
-# 
-# DISCLAIMER
-# This disclaimer is not a license and does not grant any
-# rights to the materials distributed herewith. Except as
-# otherwise provided in a valid license issued to you by
-# Xilinx, and to the maximum extent permitted by applicable
-# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-# (2) Xilinx shall not be liable (whether in contract or tort,
-# including negligence, or under any other theory of
-# liability) for any loss or damage of any kind or nature
-# related to, arising under or in connection with these
-# materials, including for any direct, or any indirect,
-# special, incidental, or consequential loss or damage
-# (including loss of data, profits, goodwill, or any type of
-# loss or damage suffered as a result of any action brought
-# by a third party) even if such damage or loss was
-# reasonably foreseeable or Xilinx had been advised of the
-# possibility of the same.
-# 
-# CRITICAL APPLICATIONS
-# Xilinx products are not designed or intended to be fail-
-# safe, or for use in any application requiring fail-safe
-# performance, such as life-support or safety devices or
-# systems, Class III medical devices, nuclear facilities,
-# applications related to the deployment of airbags, or any
-# other applications that could lead to death, personal
-# injury, or severe property or environmental damage
-# (individually and collectively, "Critical
-# Applications"). Customer assumes the sole risk and
-# liability of any use of Xilinx products in Critical
-# Applications, subject only to applicable laws and
-# regulations governing limitations on product liability.
-# 
-# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-# PART OF THIS FILE AT ALL TIMES.
-# 
-# DO NOT MODIFY THIS FILE.
-# #########################################################
-#
-# This XDC is used only in OOC mode for synthesis, implementation
-#
-# #########################################################
-
-
-create_clock -period 10 -name clk [get_ports clk]
-
-

Rozdílová data souboru nebyla zobrazena, protože soubor je příliš velký
+ 0 - 13225
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.v


Rozdílová data souboru nebyla zobrazena, protože soubor je příliš velký
+ 0 - 14563
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_sim_netlist.vhdl


+ 0 - 27
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_stub.v

@@ -1,27 +0,0 @@
-// Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
-// --------------------------------------------------------------------------------
-// Tool Version: Vivado v.2020.2 (win64) Build 3064766 Wed Nov 18 09:12:45 MST 2020
-// Date        : Thu Jul 13 15:41:50 2023
-// Host        : DESKTOP-RMARCDV running 64-bit major release  (build 9200)
-// Command     : write_verilog -force -mode synth_stub -rename_top MeasDataFifo -prefix
-//               MeasDataFifo_ MeasDataFifo_stub.v
-// Design      : MeasDataFifo
-// Purpose     : Stub declaration of top-level module interface
-// Device      : xc7s25csga324-2
-// --------------------------------------------------------------------------------
-
-// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
-// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
-// Please paste the declaration into a Verilog source file or add the file as an additional source.
-(* x_core_info = "fifo_generator_v13_2_5,Vivado 2020.2" *)
-module MeasDataFifo(clk, srst, din, wr_en, rd_en, dout, full, empty)
-/* synthesis syn_black_box black_box_pad_pin="clk,srst,din[255:0],wr_en,rd_en,dout[255:0],full,empty" */;
-  input clk;
-  input srst;
-  input [255:0]din;
-  input wr_en;
-  input rd_en;
-  output [255:0]dout;
-  output full;
-  output empty;
-endmodule

+ 0 - 26
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo_stub.vhdl

@@ -1,37 +0,0 @@
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-
-entity MeasDataFifo is
-  Port ( 
-    clk : in STD_LOGIC;
-    srst : in STD_LOGIC;
-    din : in STD_LOGIC_VECTOR ( 255 downto 0 );
-    wr_en : in STD_LOGIC;
-    rd_en : in STD_LOGIC;
-    dout : out STD_LOGIC_VECTOR ( 255 downto 0 );
-    full : out STD_LOGIC;
-    empty : out STD_LOGIC
-  );
-
-end MeasDataFifo;
-
-architecture stub of MeasDataFifo is
-attribute syn_black_box : boolean;
-attribute black_box_pad_pin : string;
-attribute syn_black_box of stub : architecture is true;
-attribute black_box_pad_pin of stub : architecture is "clk,srst,din[255:0],wr_en,rd_en,dout[255:0],full,empty";
-attribute x_core_info : string;
-attribute x_core_info of stub : architecture is "fifo_generator_v13_2_5,Vivado 2020.2";
-begin
-end;

+ 0 - 254
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/doc/fifo_generator_v13_2_changelog.txt

@@ -1,254 +0,0 @@
-2020.2:
- * Version 13.2 (Rev. 5)
- * No changes
-
-2020.1.1:
- * Version 13.2 (Rev. 5)
- * No changes
-
-2020.1:
- * Version 13.2 (Rev. 5)
- * No changes
-
-2019.2.2:
- * Version 13.2 (Rev. 5)
- * No changes
-
-2019.2.1:
- * Version 13.2 (Rev. 5)
- * No changes
-
-2019.2:
- * Version 13.2 (Rev. 5)
- * General: IP Waivers update in constraint files. No functional changes
- * Revision change in one or more subcores
-
-2019.1.3:
- * Version 13.2 (Rev. 4)
- * No changes
-
-2019.1.2:
- * Version 13.2 (Rev. 4)
- * No changes
-
-2019.1.1:
- * Version 13.2 (Rev. 4)
- * No changes
-
-2019.1:
- * Version 13.2 (Rev. 4)
- * Bug Fix: Destination Clock not connected properly for some XPM_CDC instances when in common clock mode. Conditions added to connect the correct clock
- * Other: IP Waivers added in constraint files. No functional changes
- * Revision change in one or more subcores
-
-2018.3.1:
- * Version 13.2 (Rev. 3)
- * No changes
-
-2018.3:
- * Version 13.2 (Rev. 3)
- * Feature Enhancement: None
- * Other: Reduced simulation warnings in Behavioral model. No functional changes
- * Revision change in one or more subcores
-
-2018.2:
- * Version 13.2 (Rev. 2)
- * No changes
-
-2018.1:
- * Version 13.2 (Rev. 2)
- * Bug Fix: Enable Safety Circuit option was unintentionally made available for user selection when Enable Reset Synchronization is not selected. This unintentional enablement is corrected and Enable Safety Circuit is available for user selection only if Enable Reset Synchronization option is selected
- * Bug Fix: REQP-1839 DRC warning removed from example test bench
- * Bug Fix: Read Data Count in behavioral model is updated to start with a valid value when Enable Reset Synchronization option is not selected
- * Other: As FIFO Generator core uses XPM_CDC module, user must ensure that the wr_rst and rd_rst overlap for at least C_SYNCHRONIZER_STAGE+1 slowest clock cycles if Enable Reset Synchronization option is disabled
-
-2017.4:
- * Version 13.2 (Rev. 1)
- * Revision change in one or more subcores
-
-2017.3:
- * Version 13.2
- * Feature Enhancement: Enable Safety Circuit option is made default for BRAM based FIFOs when Asynchronous Reset is selected
- * Feature Enhancement: All outputs are made synchronous to respective clock domain when Enable Safety Circuit option is selected
- * Feature Enhancement: All outputs are invalid for reset duration + 60 slowest clock cycles when Enable Safety Circuit option is selected
- * Feature Enhancement: All outputs are invalid for reset duration + 30 slowest clock cycles when Enable Safety Circuit option is not selected
- * Feature Enhancement: The outputs of FIFO Generator may be Xs for initial few clock cycles if the core is configured without reset. It is recommended to wait for 15 slowest clock cycles at the beginning of behavioral simulation (from time 0) before accessing the FIFO
-
-2017.2:
- * Version 13.1 (Rev. 4)
- * No changes
-
-2017.1:
- * Version 13.1 (Rev. 4)
- * Bug Fix: FIFO Generator core was constructing the buit-in FIFO sub-optimally for 2K-deep and 36-bit wide configuration. This is corrected to use the optimal FIFO structure
- * Bug Fix: In order to enable the tool to perform the recovery check on the reset, set_false_path for reset is kept only from the input port to the first flop where it connects to
- * Feature Enhancement: Updated the FIFO Generator's constraints to improve tool performance processing its XDC
- * Other: Internal device family change, no functional changes
- * Revision change in one or more subcores
-
-2016.4:
- * Version 13.1 (Rev. 3)
- * Port Change: None
- * Bug Fix: Supported features table in the first page of GUI updated to reflect the asymmetry support for common clock BRAM FIFO
- * Feature Enhancement: None
- * Revision change in one or more subcores
-
-2016.3:
- * Version 13.1 (Rev. 2)
- * Port Change: wr_rst_busy and rd_rst_busy ports made available if safety circuit is enabled
- * Bug Fix: Fixed issue which was causing the m_axis_tvalid to go high after the reset is released and no valid data written to the FIFO
- * Feature Enhancement: Safety circuit is made independent of Output Register and Enable Reset Synchronization options
- * Other: Added support for future devices
- * Other: Source HDL files are concatenated into a single file to speed up synthesis and simulation. No changes required by the user
- * Revision change in one or more subcores
-
-2016.2:
- * Version 13.1 (Rev. 1)
- * Revision change in one or more subcores
-
-2016.1:
- * Version 13.1
- * Delivering only Verilog behavioral model.
- * Constraint(s) for Independent Clocks Distributed RAM FIFO is changed, which may issue a CDC-1 warning that can be safely ignored.
- * Output Register option is updated to offer either Embedded Register or Fabric Register or Both Embedded and Fabric Registers.
- * Updated the FIFO Generator GUI to provide Embedded Register option for Built-in FIFO when ECC mode in selected.
- * Programmable Full and Programmable Empty Threshold range has been reduced for UltraScale and UltraScale+ Built-in FIFO configurations. For more information on the exact threshold range change, refer the PG(057)
- * Programmable Full and Programmable Empty Threshold values were reset to its default values when the previous version of the core is upgraded to the latest version. This has been corrected
- * Revision change in one or more subcores
-
-2015.4.2:
- * Version 13.0 (Rev. 1)
- * No changes
-
-2015.4.1:
- * Version 13.0 (Rev. 1)
- * No changes
-
-2015.4:
- * Version 13.0 (Rev. 1)
- * Fixed safety circuit related warnings in Behavioral model
- * Revision change in one or more subcores
-
-2015.3:
- * Version 13.0
- * Additional safety circuit option provided for asynchronous reset configurations.
- * Delivering only VHDL behavioral model.
- * Added asymmetric port width support for 7-series Common Clock Block RAM FIFO
- * IP revision number added to HDL module, library, and include file names, to support designs with both locked and upgraded IP instances
-
-2015.2.1:
- * Version 12.0 (Rev. 4)
- * No changes
-
-2015.2:
- * Version 12.0 (Rev. 4)
- * No changes
-
-2015.1:
- * Version 12.0 (Rev. 4)
- * Delivering  non encrypted behavioral models.
- * Enabled out-of-context clock frequency setting by adding FREQ_HZ parameter to clock ports
- * Enabling behavioral simulation for Built-in FIFO configurations changes the simulation file names and delivery structure.
- * Supported devices and production status are now determined automatically, to simplify support for future devices
-
-2014.4.1:
- * Version 12.0 (Rev. 3)
- * No changes
-
-2014.4:
- * Version 12.0 (Rev. 3)
- * Reduced DRC warnings.
- * Internal device family change, no functional changes
- * Encrypted source files are concatenated together to reduce the number of files and to reduce simulator compile time
-
-2014.3:
- * Version 12.0 (Rev. 2)
- * Added support for Asynchronous AXI Stream Packet FIFO for UltraScale devices.
- * Added support for write data count and read data count for Asynchronous AXI Stream Packet FIFO for UltraScale devices.
- * Added support for write data count and read data count for Common Clock Block RAM FIFO when Asymmetric Port Width option is enabled for UltraScale devices.
- * Added support for Low Latency Built-in FIFO for UltraScale devices.
-
-2014.2:
- * Version 12.0 (Rev. 1)
- * Repackaged to improve internal automation, no functional changes.
-
-2014.1:
- * Version 12.0
- * Asynchronous reset port (rst) for Built-in FIFO configurations is removed for UltraScale Built-in FIFO configurations. When upgrading from previously released core, 'rst' port will be replaced by 'srst' port.
- * Synchronous reset (srst) mechanism is changed now for UltraScale devices. FIFO Generator will now provide wr_rst_busy and rd_rst_busy output ports. When wr_rst_busy is active low, the core is ready for write operation and when rd_rst_busy is active low, the core is ready for read operation.
- * Added asymmetric port width support for Common Clock Block RAM FIFO, Common Clock Built-in FIFO and Independent Clocks Built-in FIFO configurations for UltraScale Devices
- * Added 'sleep' input port for Common Clock Built-in FIFO and Independent Clocks Built-in FIFO configurations only for UltraScale Devices
- * Internal device family name change, no functional changes
-
-2013.4:
- * Version 11.0 (Rev. 1)
- * Added support for Ultrascale devices
- * Common Clock Builtin FIFO is set as default implementation type only for UltraScale devices
- * Embedded Register option is always ON for Block RAM and Builtin FIFOs only for UltraScale devices
- * Reset is sampled with respect to wr_clk/clk and then synchronized before the use in FIFO Generator only for UltraScale devices
-
-2013.3:
- * Version 11.0
- * AXI ID Tags (s_axi_wid and m_axi_wid) are now determined by AXI protocol type (AXI4, AXI3). When upgrading from previously released core, these signals will be removed when AXI_Type = AXI4_Full.
- * AXI Lock signals (s_axi_awlock, m_axi_awlock, s_axi_arlock and m_axi_arlock) are now determined by AXI Protocol type (AXI4, AXI3). When upgrading from previously released core, these signals width will reduce from 2-bits to 1-bit when AXI_Type=AXI4_Full
- * Removed restriction on packet size in AXI4 Stream FIFO mode. Now, the packet size can be up to FIFO depth
- * Enhanced support for IP Integrator
- * Reduced warnings in synthesis and simulation
- * Added support for Cadence IES and Synopsys VCS simulators
- * Improved GUI speed and responsiveness, no functional changes
- * Increased the maximum number of synchronization stages from 4 to 8. The minimum FIFO depth is limited to 32 when number of synchronization stages is > 4
-
-2013.2:
- * Version 10.0 (Rev. 1)
- * Constraints processing order changed
-
-2013.1:
- * Version 10.0
- * Native Vivado Release
- * There have been no functional or interface changes to this IP.  The version number has changed to support unique versioning in Vivado starting with 2013.1.
-
-(c) Copyright 2002 - 2020 Xilinx, Inc. All rights reserved.
-
-This file contains confidential and proprietary information
-of Xilinx, Inc. and is protected under U.S. and
-international copyright and other intellectual property
-laws.
-
-DISCLAIMER
-This disclaimer is not a license and does not grant any
-rights to the materials distributed herewith. Except as
-otherwise provided in a valid license issued to you by
-Xilinx, and to the maximum extent permitted by applicable
-law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-(2) Xilinx shall not be liable (whether in contract or tort,
-including negligence, or under any other theory of
-liability) for any loss or damage of any kind or nature
-related to, arising under or in connection with these
-materials, including for any direct, or any indirect,
-special, incidental, or consequential loss or damage
-(including loss of data, profits, goodwill, or any type of
-loss or damage suffered as a result of any action brought
-by a third party) even if such damage or loss was
-reasonably foreseeable or Xilinx had been advised of the
-possibility of the same.
-
-CRITICAL APPLICATIONS
-Xilinx products are not designed or intended to be fail-
-safe, or for use in any application requiring fail-safe
-performance, such as life-support or safety devices or
-systems, Class III medical devices, nuclear facilities,
-applications related to the deployment of airbags, or any
-other applications that could lead to death, personal
-injury, or severe property or environmental damage
-(individually and collectively, "Critical
-Applications"). Customer assumes the sole risk and
-liability of any use of Xilinx products in Critical
-Applications, subject only to applicable laws and
-regulations governing limitations on product liability.
-
-THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-PART OF THIS FILE AT ALL TIMES.

Rozdílová data souboru nebyla zobrazena, protože soubor je příliš velký
+ 0 - 193196
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd


Rozdílová data souboru nebyla zobrazena, protože soubor je příliš velký
+ 0 - 7777
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/hdl/fifo_generator_v13_2_rfs.v


Rozdílová data souboru nebyla zobrazena, protože soubor je příliš velký
+ 0 - 18837
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/hdl/fifo_generator_v13_2_rfs.vhd


Rozdílová data souboru nebyla zobrazena, protože soubor je příliš velký
+ 0 - 31975
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/hdl/fifo_generator_v13_2_vhsyn_rfs.vhd


+ 0 - 520
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/sim/MeasDataFifo.v

@@ -1,520 +0,0 @@
-// (c) Copyright 1995-2023 Xilinx, Inc. All rights reserved.
-// 
-// This file contains confidential and proprietary information
-// of Xilinx, Inc. and is protected under U.S. and
-// international copyright and other intellectual property
-// laws.
-// 
-// DISCLAIMER
-// This disclaimer is not a license and does not grant any
-// rights to the materials distributed herewith. Except as
-// otherwise provided in a valid license issued to you by
-// Xilinx, and to the maximum extent permitted by applicable
-// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-// (2) Xilinx shall not be liable (whether in contract or tort,
-// including negligence, or under any other theory of
-// liability) for any loss or damage of any kind or nature
-// related to, arising under or in connection with these
-// materials, including for any direct, or any indirect,
-// special, incidental, or consequential loss or damage
-// (including loss of data, profits, goodwill, or any type of
-// loss or damage suffered as a result of any action brought
-// by a third party) even if such damage or loss was
-// reasonably foreseeable or Xilinx had been advised of the
-// possibility of the same.
-// 
-// CRITICAL APPLICATIONS
-// Xilinx products are not designed or intended to be fail-
-// safe, or for use in any application requiring fail-safe
-// performance, such as life-support or safety devices or
-// systems, Class III medical devices, nuclear facilities,
-// applications related to the deployment of airbags, or any
-// other applications that could lead to death, personal
-// injury, or severe property or environmental damage
-// (individually and collectively, "Critical
-// Applications"). Customer assumes the sole risk and
-// liability of any use of Xilinx products in Critical
-// Applications, subject only to applicable laws and
-// regulations governing limitations on product liability.
-// 
-// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-// PART OF THIS FILE AT ALL TIMES.
-// 
-// DO NOT MODIFY THIS FILE.
-
-
-// IP VLNV: xilinx.com:ip:fifo_generator:13.2
-// IP Revision: 5
-
-`timescale 1ns/1ps
-
-(* DowngradeIPIdentifiedWarnings = "yes" *)
-module MeasDataFifo (
-  clk,
-  srst,
-  din,
-  wr_en,
-  rd_en,
-  dout,
-  full,
-  empty
-);
-
-(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME core_clk, FREQ_HZ 100000000, FREQ_TOLERANCE_HZ 0, PHASE 0.000, INSERT_VIP 0" *)
-(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 core_clk CLK" *)
-input wire clk;
-input wire srst;
-(* X_INTERFACE_INFO = "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA" *)
-input wire [255 : 0] din;
-(* X_INTERFACE_INFO = "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN" *)
-input wire wr_en;
-(* X_INTERFACE_INFO = "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN" *)
-input wire rd_en;
-(* X_INTERFACE_INFO = "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA" *)
-output wire [255 : 0] dout;
-(* X_INTERFACE_INFO = "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL" *)
-output wire full;
-(* X_INTERFACE_INFO = "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY" *)
-output wire empty;
-
-  fifo_generator_v13_2_5 #(
-    .C_COMMON_CLOCK(1),
-    .C_SELECT_XPM(0),
-    .C_COUNT_TYPE(0),
-    .C_DATA_COUNT_WIDTH(12),
-    .C_DEFAULT_VALUE("BlankString"),
-    .C_DIN_WIDTH(256),
-    .C_DOUT_RST_VAL("0"),
-    .C_DOUT_WIDTH(256),
-    .C_ENABLE_RLOCS(0),
-    .C_FAMILY("spartan7"),
-    .C_FULL_FLAGS_RST_VAL(0),
-    .C_HAS_ALMOST_EMPTY(0),
-    .C_HAS_ALMOST_FULL(0),
-    .C_HAS_BACKUP(0),
-    .C_HAS_DATA_COUNT(0),
-    .C_HAS_INT_CLK(0),
-    .C_HAS_MEMINIT_FILE(0),
-    .C_HAS_OVERFLOW(0),
-    .C_HAS_RD_DATA_COUNT(0),
-    .C_HAS_RD_RST(0),
-    .C_HAS_RST(0),
-    .C_HAS_SRST(1),
-    .C_HAS_UNDERFLOW(0),
-    .C_HAS_VALID(0),
-    .C_HAS_WR_ACK(0),
-    .C_HAS_WR_DATA_COUNT(0),
-    .C_HAS_WR_RST(0),
-    .C_IMPLEMENTATION_TYPE(0),
-    .C_INIT_WR_PNTR_VAL(0),
-    .C_MEMORY_TYPE(1),
-    .C_MIF_FILE_NAME("BlankString"),
-    .C_OPTIMIZATION_MODE(0),
-    .C_OVERFLOW_LOW(0),
-    .C_PRELOAD_LATENCY(1),
-    .C_PRELOAD_REGS(0),
-    .C_PRIM_FIFO_TYPE("4kx9"),
-    .C_PROG_EMPTY_THRESH_ASSERT_VAL(2),
-    .C_PROG_EMPTY_THRESH_NEGATE_VAL(3),
-    .C_PROG_EMPTY_TYPE(0),
-    .C_PROG_FULL_THRESH_ASSERT_VAL(4094),
-    .C_PROG_FULL_THRESH_NEGATE_VAL(4093),
-    .C_PROG_FULL_TYPE(0),
-    .C_RD_DATA_COUNT_WIDTH(12),
-    .C_RD_DEPTH(4096),
-    .C_RD_FREQ(1),
-    .C_RD_PNTR_WIDTH(12),
-    .C_UNDERFLOW_LOW(0),
-    .C_USE_DOUT_RST(1),
-    .C_USE_ECC(0),
-    .C_USE_EMBEDDED_REG(0),
-    .C_USE_PIPELINE_REG(0),
-    .C_POWER_SAVING_MODE(0),
-    .C_USE_FIFO16_FLAGS(0),
-    .C_USE_FWFT_DATA_COUNT(0),
-    .C_VALID_LOW(0),
-    .C_WR_ACK_LOW(0),
-    .C_WR_DATA_COUNT_WIDTH(12),
-    .C_WR_DEPTH(4096),
-    .C_WR_FREQ(1),
-    .C_WR_PNTR_WIDTH(12),
-    .C_WR_RESPONSE_LATENCY(1),
-    .C_MSGON_VAL(1),
-    .C_ENABLE_RST_SYNC(1),
-    .C_EN_SAFETY_CKT(0),
-    .C_ERROR_INJECTION_TYPE(0),
-    .C_SYNCHRONIZER_STAGE(2),
-    .C_INTERFACE_TYPE(0),
-    .C_AXI_TYPE(1),
-    .C_HAS_AXI_WR_CHANNEL(1),
-    .C_HAS_AXI_RD_CHANNEL(1),
-    .C_HAS_SLAVE_CE(0),
-    .C_HAS_MASTER_CE(0),
-    .C_ADD_NGC_CONSTRAINT(0),
-    .C_USE_COMMON_OVERFLOW(0),
-    .C_USE_COMMON_UNDERFLOW(0),
-    .C_USE_DEFAULT_SETTINGS(0),
-    .C_AXI_ID_WIDTH(1),
-    .C_AXI_ADDR_WIDTH(32),
-    .C_AXI_DATA_WIDTH(64),
-    .C_AXI_LEN_WIDTH(8),
-    .C_AXI_LOCK_WIDTH(1),
-    .C_HAS_AXI_ID(0),
-    .C_HAS_AXI_AWUSER(0),
-    .C_HAS_AXI_WUSER(0),
-    .C_HAS_AXI_BUSER(0),
-    .C_HAS_AXI_ARUSER(0),
-    .C_HAS_AXI_RUSER(0),
-    .C_AXI_ARUSER_WIDTH(1),
-    .C_AXI_AWUSER_WIDTH(1),
-    .C_AXI_WUSER_WIDTH(1),
-    .C_AXI_BUSER_WIDTH(1),
-    .C_AXI_RUSER_WIDTH(1),
-    .C_HAS_AXIS_TDATA(1),
-    .C_HAS_AXIS_TID(0),
-    .C_HAS_AXIS_TDEST(0),
-    .C_HAS_AXIS_TUSER(1),
-    .C_HAS_AXIS_TREADY(1),
-    .C_HAS_AXIS_TLAST(0),
-    .C_HAS_AXIS_TSTRB(0),
-    .C_HAS_AXIS_TKEEP(0),
-    .C_AXIS_TDATA_WIDTH(8),
-    .C_AXIS_TID_WIDTH(1),
-    .C_AXIS_TDEST_WIDTH(1),
-    .C_AXIS_TUSER_WIDTH(4),
-    .C_AXIS_TSTRB_WIDTH(1),
-    .C_AXIS_TKEEP_WIDTH(1),
-    .C_WACH_TYPE(0),
-    .C_WDCH_TYPE(0),
-    .C_WRCH_TYPE(0),
-    .C_RACH_TYPE(0),
-    .C_RDCH_TYPE(0),
-    .C_AXIS_TYPE(0),
-    .C_IMPLEMENTATION_TYPE_WACH(1),
-    .C_IMPLEMENTATION_TYPE_WDCH(1),
-    .C_IMPLEMENTATION_TYPE_WRCH(1),
-    .C_IMPLEMENTATION_TYPE_RACH(1),
-    .C_IMPLEMENTATION_TYPE_RDCH(1),
-    .C_IMPLEMENTATION_TYPE_AXIS(1),
-    .C_APPLICATION_TYPE_WACH(0),
-    .C_APPLICATION_TYPE_WDCH(0),
-    .C_APPLICATION_TYPE_WRCH(0),
-    .C_APPLICATION_TYPE_RACH(0),
-    .C_APPLICATION_TYPE_RDCH(0),
-    .C_APPLICATION_TYPE_AXIS(0),
-    .C_PRIM_FIFO_TYPE_WACH("512x36"),
-    .C_PRIM_FIFO_TYPE_WDCH("1kx36"),
-    .C_PRIM_FIFO_TYPE_WRCH("512x36"),
-    .C_PRIM_FIFO_TYPE_RACH("512x36"),
-    .C_PRIM_FIFO_TYPE_RDCH("1kx36"),
-    .C_PRIM_FIFO_TYPE_AXIS("1kx18"),
-    .C_USE_ECC_WACH(0),
-    .C_USE_ECC_WDCH(0),
-    .C_USE_ECC_WRCH(0),
-    .C_USE_ECC_RACH(0),
-    .C_USE_ECC_RDCH(0),
-    .C_USE_ECC_AXIS(0),
-    .C_ERROR_INJECTION_TYPE_WACH(0),
-    .C_ERROR_INJECTION_TYPE_WDCH(0),
-    .C_ERROR_INJECTION_TYPE_WRCH(0),
-    .C_ERROR_INJECTION_TYPE_RACH(0),
-    .C_ERROR_INJECTION_TYPE_RDCH(0),
-    .C_ERROR_INJECTION_TYPE_AXIS(0),
-    .C_DIN_WIDTH_WACH(1),
-    .C_DIN_WIDTH_WDCH(64),
-    .C_DIN_WIDTH_WRCH(2),
-    .C_DIN_WIDTH_RACH(32),
-    .C_DIN_WIDTH_RDCH(64),
-    .C_DIN_WIDTH_AXIS(1),
-    .C_WR_DEPTH_WACH(16),
-    .C_WR_DEPTH_WDCH(1024),
-    .C_WR_DEPTH_WRCH(16),
-    .C_WR_DEPTH_RACH(16),
-    .C_WR_DEPTH_RDCH(1024),
-    .C_WR_DEPTH_AXIS(1024),
-    .C_WR_PNTR_WIDTH_WACH(4),
-    .C_WR_PNTR_WIDTH_WDCH(10),
-    .C_WR_PNTR_WIDTH_WRCH(4),
-    .C_WR_PNTR_WIDTH_RACH(4),
-    .C_WR_PNTR_WIDTH_RDCH(10),
-    .C_WR_PNTR_WIDTH_AXIS(10),
-    .C_HAS_DATA_COUNTS_WACH(0),
-    .C_HAS_DATA_COUNTS_WDCH(0),
-    .C_HAS_DATA_COUNTS_WRCH(0),
-    .C_HAS_DATA_COUNTS_RACH(0),
-    .C_HAS_DATA_COUNTS_RDCH(0),
-    .C_HAS_DATA_COUNTS_AXIS(0),
-    .C_HAS_PROG_FLAGS_WACH(0),
-    .C_HAS_PROG_FLAGS_WDCH(0),
-    .C_HAS_PROG_FLAGS_WRCH(0),
-    .C_HAS_PROG_FLAGS_RACH(0),
-    .C_HAS_PROG_FLAGS_RDCH(0),
-    .C_HAS_PROG_FLAGS_AXIS(0),
-    .C_PROG_FULL_TYPE_WACH(0),
-    .C_PROG_FULL_TYPE_WDCH(0),
-    .C_PROG_FULL_TYPE_WRCH(0),
-    .C_PROG_FULL_TYPE_RACH(0),
-    .C_PROG_FULL_TYPE_RDCH(0),
-    .C_PROG_FULL_TYPE_AXIS(0),
-    .C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023),
-    .C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023),
-    .C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023),
-    .C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023),
-    .C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023),
-    .C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023),
-    .C_PROG_EMPTY_TYPE_WACH(0),
-    .C_PROG_EMPTY_TYPE_WDCH(0),
-    .C_PROG_EMPTY_TYPE_WRCH(0),
-    .C_PROG_EMPTY_TYPE_RACH(0),
-    .C_PROG_EMPTY_TYPE_RDCH(0),
-    .C_PROG_EMPTY_TYPE_AXIS(0),
-    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022),
-    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022),
-    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022),
-    .C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022),
-    .C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022),
-    .C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022),
-    .C_REG_SLICE_MODE_WACH(0),
-    .C_REG_SLICE_MODE_WDCH(0),
-    .C_REG_SLICE_MODE_WRCH(0),
-    .C_REG_SLICE_MODE_RACH(0),
-    .C_REG_SLICE_MODE_RDCH(0),
-    .C_REG_SLICE_MODE_AXIS(0)
-  ) inst (
-    .backup(1'D0),
-    .backup_marker(1'D0),
-    .clk(clk),
-    .rst(1'D0),
-    .srst(srst),
-    .wr_clk(1'D0),
-    .wr_rst(1'D0),
-    .rd_clk(1'D0),
-    .rd_rst(1'D0),
-    .din(din),
-    .wr_en(wr_en),
-    .rd_en(rd_en),
-    .prog_empty_thresh(12'B0),
-    .prog_empty_thresh_assert(12'B0),
-    .prog_empty_thresh_negate(12'B0),
-    .prog_full_thresh(12'B0),
-    .prog_full_thresh_assert(12'B0),
-    .prog_full_thresh_negate(12'B0),
-    .int_clk(1'D0),
-    .injectdbiterr(1'D0),
-    .injectsbiterr(1'D0),
-    .sleep(1'D0),
-    .dout(dout),
-    .full(full),
-    .almost_full(),
-    .wr_ack(),
-    .overflow(),
-    .empty(empty),
-    .almost_empty(),
-    .valid(),
-    .underflow(),
-    .data_count(),
-    .rd_data_count(),
-    .wr_data_count(),
-    .prog_full(),
-    .prog_empty(),
-    .sbiterr(),
-    .dbiterr(),
-    .wr_rst_busy(),
-    .rd_rst_busy(),
-    .m_aclk(1'D0),
-    .s_aclk(1'D0),
-    .s_aresetn(1'D0),
-    .m_aclk_en(1'D0),
-    .s_aclk_en(1'D0),
-    .s_axi_awid(1'B0),
-    .s_axi_awaddr(32'B0),
-    .s_axi_awlen(8'B0),
-    .s_axi_awsize(3'B0),
-    .s_axi_awburst(2'B0),
-    .s_axi_awlock(1'B0),
-    .s_axi_awcache(4'B0),
-    .s_axi_awprot(3'B0),
-    .s_axi_awqos(4'B0),
-    .s_axi_awregion(4'B0),
-    .s_axi_awuser(1'B0),
-    .s_axi_awvalid(1'D0),
-    .s_axi_awready(),
-    .s_axi_wid(1'B0),
-    .s_axi_wdata(64'B0),
-    .s_axi_wstrb(8'B0),
-    .s_axi_wlast(1'D0),
-    .s_axi_wuser(1'B0),
-    .s_axi_wvalid(1'D0),
-    .s_axi_wready(),
-    .s_axi_bid(),
-    .s_axi_bresp(),
-    .s_axi_buser(),
-    .s_axi_bvalid(),
-    .s_axi_bready(1'D0),
-    .m_axi_awid(),
-    .m_axi_awaddr(),
-    .m_axi_awlen(),
-    .m_axi_awsize(),
-    .m_axi_awburst(),
-    .m_axi_awlock(),
-    .m_axi_awcache(),
-    .m_axi_awprot(),
-    .m_axi_awqos(),
-    .m_axi_awregion(),
-    .m_axi_awuser(),
-    .m_axi_awvalid(),
-    .m_axi_awready(1'D0),
-    .m_axi_wid(),
-    .m_axi_wdata(),
-    .m_axi_wstrb(),
-    .m_axi_wlast(),
-    .m_axi_wuser(),
-    .m_axi_wvalid(),
-    .m_axi_wready(1'D0),
-    .m_axi_bid(1'B0),
-    .m_axi_bresp(2'B0),
-    .m_axi_buser(1'B0),
-    .m_axi_bvalid(1'D0),
-    .m_axi_bready(),
-    .s_axi_arid(1'B0),
-    .s_axi_araddr(32'B0),
-    .s_axi_arlen(8'B0),
-    .s_axi_arsize(3'B0),
-    .s_axi_arburst(2'B0),
-    .s_axi_arlock(1'B0),
-    .s_axi_arcache(4'B0),
-    .s_axi_arprot(3'B0),
-    .s_axi_arqos(4'B0),
-    .s_axi_arregion(4'B0),
-    .s_axi_aruser(1'B0),
-    .s_axi_arvalid(1'D0),
-    .s_axi_arready(),
-    .s_axi_rid(),
-    .s_axi_rdata(),
-    .s_axi_rresp(),
-    .s_axi_rlast(),
-    .s_axi_ruser(),
-    .s_axi_rvalid(),
-    .s_axi_rready(1'D0),
-    .m_axi_arid(),
-    .m_axi_araddr(),
-    .m_axi_arlen(),
-    .m_axi_arsize(),
-    .m_axi_arburst(),
-    .m_axi_arlock(),
-    .m_axi_arcache(),
-    .m_axi_arprot(),
-    .m_axi_arqos(),
-    .m_axi_arregion(),
-    .m_axi_aruser(),
-    .m_axi_arvalid(),
-    .m_axi_arready(1'D0),
-    .m_axi_rid(1'B0),
-    .m_axi_rdata(64'B0),
-    .m_axi_rresp(2'B0),
-    .m_axi_rlast(1'D0),
-    .m_axi_ruser(1'B0),
-    .m_axi_rvalid(1'D0),
-    .m_axi_rready(),
-    .s_axis_tvalid(1'D0),
-    .s_axis_tready(),
-    .s_axis_tdata(8'B0),
-    .s_axis_tstrb(1'B0),
-    .s_axis_tkeep(1'B0),
-    .s_axis_tlast(1'D0),
-    .s_axis_tid(1'B0),
-    .s_axis_tdest(1'B0),
-    .s_axis_tuser(4'B0),
-    .m_axis_tvalid(),
-    .m_axis_tready(1'D0),
-    .m_axis_tdata(),
-    .m_axis_tstrb(),
-    .m_axis_tkeep(),
-    .m_axis_tlast(),
-    .m_axis_tid(),
-    .m_axis_tdest(),
-    .m_axis_tuser(),
-    .axi_aw_injectsbiterr(1'D0),
-    .axi_aw_injectdbiterr(1'D0),
-    .axi_aw_prog_full_thresh(4'B0),
-    .axi_aw_prog_empty_thresh(4'B0),
-    .axi_aw_data_count(),
-    .axi_aw_wr_data_count(),
-    .axi_aw_rd_data_count(),
-    .axi_aw_sbiterr(),
-    .axi_aw_dbiterr(),
-    .axi_aw_overflow(),
-    .axi_aw_underflow(),
-    .axi_aw_prog_full(),
-    .axi_aw_prog_empty(),
-    .axi_w_injectsbiterr(1'D0),
-    .axi_w_injectdbiterr(1'D0),
-    .axi_w_prog_full_thresh(10'B0),
-    .axi_w_prog_empty_thresh(10'B0),
-    .axi_w_data_count(),
-    .axi_w_wr_data_count(),
-    .axi_w_rd_data_count(),
-    .axi_w_sbiterr(),
-    .axi_w_dbiterr(),
-    .axi_w_overflow(),
-    .axi_w_underflow(),
-    .axi_w_prog_full(),
-    .axi_w_prog_empty(),
-    .axi_b_injectsbiterr(1'D0),
-    .axi_b_injectdbiterr(1'D0),
-    .axi_b_prog_full_thresh(4'B0),
-    .axi_b_prog_empty_thresh(4'B0),
-    .axi_b_data_count(),
-    .axi_b_wr_data_count(),
-    .axi_b_rd_data_count(),
-    .axi_b_sbiterr(),
-    .axi_b_dbiterr(),
-    .axi_b_overflow(),
-    .axi_b_underflow(),
-    .axi_b_prog_full(),
-    .axi_b_prog_empty(),
-    .axi_ar_injectsbiterr(1'D0),
-    .axi_ar_injectdbiterr(1'D0),
-    .axi_ar_prog_full_thresh(4'B0),
-    .axi_ar_prog_empty_thresh(4'B0),
-    .axi_ar_data_count(),
-    .axi_ar_wr_data_count(),
-    .axi_ar_rd_data_count(),
-    .axi_ar_sbiterr(),
-    .axi_ar_dbiterr(),
-    .axi_ar_overflow(),
-    .axi_ar_underflow(),
-    .axi_ar_prog_full(),
-    .axi_ar_prog_empty(),
-    .axi_r_injectsbiterr(1'D0),
-    .axi_r_injectdbiterr(1'D0),
-    .axi_r_prog_full_thresh(10'B0),
-    .axi_r_prog_empty_thresh(10'B0),
-    .axi_r_data_count(),
-    .axi_r_wr_data_count(),
-    .axi_r_rd_data_count(),
-    .axi_r_sbiterr(),
-    .axi_r_dbiterr(),
-    .axi_r_overflow(),
-    .axi_r_underflow(),
-    .axi_r_prog_full(),
-    .axi_r_prog_empty(),
-    .axis_injectsbiterr(1'D0),
-    .axis_injectdbiterr(1'D0),
-    .axis_prog_full_thresh(10'B0),
-    .axis_prog_empty_thresh(10'B0),
-    .axis_data_count(),
-    .axis_wr_data_count(),
-    .axis_rd_data_count(),
-    .axis_sbiterr(),
-    .axis_dbiterr(),
-    .axis_overflow(),
-    .axis_underflow(),
-    .axis_prog_full(),
-    .axis_prog_empty()
-  );
-endmodule

Rozdílová data souboru nebyla zobrazena, protože soubor je příliš velký
+ 0 - 10519
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/simulation/fifo_generator_vlog_beh.v


Rozdílová data souboru nebyla zobrazena, protože soubor je příliš velký
+ 0 - 806
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/synth/MeasDataFifo.vhd


+ 0 - 19
S5443_M/S5443.srcs/sources_1/new/fir_filter.coe

@@ -1,19 +0,0 @@
-Radix = 10;
-Coefficient_Width = 18;
-Coefdata =
-1283,
--543,
--4323,
-7716,
-8806,
--34012,
--178,
-131071,
-131071,
--178,
--34012,
-8806,
-7716,
--4323,
--543,
-1283;

+ 6 - 0
S5443_M/fpgaS5443/fpgaS5443.hw/fpgaS5443.lpr

@@ -0,0 +1,6 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2020.2 (64-bit)                     -->
+<!--                                                              -->
+<!-- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.        -->
+
+<labtools version="1" minor="0"/>

S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc → S5443_M/fpgaS5443/fpgaS5443.srcs/constrs_1/new/S5243Top.xdc


Rozdílová data souboru nebyla zobrazena, protože soubor je příliš velký
+ 0 - 48
S5443_M/S5443.srcs/constrs_1/new/S5443Top.xdc


+ 3 - 10
S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci

@@ -504,10 +504,10 @@
         <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BASE_BOARD_PART"/>
         <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD_CONNECTIONS"/>
         <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7s25</spirit:configurableElementValue>
-        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">csga324</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">csga225</spirit:configurableElementValue>
         <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
         <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
-        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">VERILOG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
         <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue>
         <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
         <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/>
@@ -516,7 +516,7 @@
         <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
         <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">5</spirit:configurableElementValue>
         <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
-        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">../../../../fpgaS5443.gen/sources_1/ip/MeasDataFifo</spirit:configurableElementValue>
         <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
         <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
         <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2020.2</spirit:configurableElementValue>
@@ -554,10 +554,6 @@
             <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TREADY" xilinx:valueSource="auto"/>
             <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
             <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Data_Count_Width" xilinx:valueSource="user"/>
-            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Dout_Reset_Value" xilinx:valueSource="user"/>
-            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Enable_Safety_Circuit" xilinx:valueSource="user"/>
-            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Fifo_Implementation" xilinx:valueSource="user"/>
-            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Flags_Reset_Value" xilinx:valueSource="user"/>
             <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value" xilinx:valueSource="user"/>
             <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Threshold_Negate_Value" xilinx:valueSource="user"/>
             <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Input_Data_Width" xilinx:valueSource="user"/>
@@ -565,9 +561,6 @@
             <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Output_Data_Width" xilinx:valueSource="user"/>
             <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Output_Depth" xilinx:valueSource="user"/>
             <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Read_Data_Count_Width" xilinx:valueSource="user"/>
-            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Reset_Pin" xilinx:valueSource="user"/>
-            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Reset_Type" xilinx:valueSource="user"/>
-            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Use_Dout_Reset" xilinx:valueSource="user"/>
             <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Data_Count_Width" xilinx:valueSource="user"/>
           </xilinx:configElementInfos>
           <xilinx:boundaryDescriptionInfo>

S5443_M/S5443.srcs/sources_1/new/AdcDataRx/AdcDataInterface.v → S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/AdcDataInterface.v


S5443_M/S5443.srcs/sources_1/new/AdcDataRx/AdcSync.v → S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/AdcSync.v


S5443_M/S5443.srcs/sources_1/new/AdcDataRx/delay_controller_wrap.v → S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/delay_controller_wrap.v


S5443_M/S5443.srcs/sources_1/new/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v → S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v


S5443_M/S5443.srcs/sources_1/new/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v → S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v


S5443_M/S5443.srcs/sources_1/new/AdcDataRx/serdes_1_to_7_slave_idelay_sdr.v → S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/serdes_1_to_7_slave_idelay_sdr.v


S5443_M/S5443.srcs/sources_1/new/AdcDataRx/top5x2_7to1_sdr_rx.v → S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcDataRx/top5x2_7to1_sdr_rx.v


S5443_M/S5443.srcs/sources_1/new/AdcInit/AdcInitInterface.v → S5443_M/fpgaS5443/fpgaS5443.srcs/sources_1/new/AdcInit/AdcInitInterface.v


+ 0 - 0
S5443_M/S5443.srcs/sources_1/new/AdcInit/AdcInitRst.v


Některé soubory nejsou zobrazeny, neboť je v těchto rozdílových datech změněno mnoho souborů