|
|
@@ -161,18 +161,33 @@ set_property IOSTANDARD LVCMOS33 [get_ports EndMeas_o]
|
|
|
set_property PACKAGE_PIN R3 [get_ports TimersClk_o]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports TimersClk_o]
|
|
|
|
|
|
-set_property PACKAGE_PIN V14 [get_ports {AmpEn_o[0]}]
|
|
|
-set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[0]}]
|
|
|
-set_property PACKAGE_PIN H17 [get_ports {AmpEn_o[1]}]
|
|
|
-set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[1]}]
|
|
|
+# set_property PACKAGE_PIN V14 [get_ports {AmpEn_o[0]}]
|
|
|
+# set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[0]}]
|
|
|
+# set_property PACKAGE_PIN H17 [get_ports {AmpEn_o[1]}]
|
|
|
+# set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[1]}]
|
|
|
+# set_property PACKAGE_PIN V16 [get_ports {AmpEn_o[2]}]
|
|
|
+# set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[2]}]
|
|
|
+# set_property PACKAGE_PIN B16 [get_ports {AmpEn_o[3]}]
|
|
|
+# set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[3]}]
|
|
|
+
|
|
|
+
|
|
|
+set_property PACKAGE_PIN H17 [get_ports {AmpEn_o[0]}]
|
|
|
+set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[0]}]; #R2
|
|
|
+
|
|
|
+set_property PACKAGE_PIN B16 [get_ports {AmpEn_o[1]}]
|
|
|
+set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[1]}]; #T2
|
|
|
+
|
|
|
set_property PACKAGE_PIN V16 [get_ports {AmpEn_o[2]}]
|
|
|
-set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[2]}]
|
|
|
-set_property PACKAGE_PIN B16 [get_ports {AmpEn_o[3]}]
|
|
|
-set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[3]}]
|
|
|
+set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[2]}]; #R1
|
|
|
|
|
|
-set_property PACKAGE_PIN K3 [get_ports {PortSel_o[0]}] ;#+ SW_PORT
|
|
|
+set_property PACKAGE_PIN V14 [get_ports {AmpEn_o[3]}]
|
|
|
+set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[3]}]; #T1
|
|
|
+
|
|
|
+
|
|
|
+
|
|
|
+set_property PACKAGE_PIN K3 [get_ports {PortSel_o[0]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {PortSel_o[0]}]
|
|
|
-set_property PACKAGE_PIN K4 [get_ports {PortSel_o[1]}] ;#+
|
|
|
+set_property PACKAGE_PIN K4 [get_ports {PortSel_o[1]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {PortSel_o[1]}]
|
|
|
#set_property PACKAGE_PIN R3 [get_ports {PortSel_o[2]}] ;#NC
|
|
|
#set_property IOSTANDARD LVCMOS25 [get_ports {PortSel_o[2]}]
|
|
|
@@ -840,29 +855,6 @@ set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[1
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[7]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[9]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[6]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[4]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[3]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[5]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[7]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[10]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[11]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[24]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[25]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[6]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[8]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[9]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[12]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[15]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[17]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[18]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[21]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[13]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[16]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[19]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[14]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[20]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[22]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[23]}]
|
|
|
set_property MARK_DEBUG false [get_nets ExternalDspInterface/CmdDataVal_o]
|
|
|
set_property MARK_DEBUG false [get_nets {ExternalDspInterface/AnsAddr_o[0]}]
|
|
|
set_property MARK_DEBUG false [get_nets {ExternalDspInterface/AnsAddr_o[1]}]
|
|
|
@@ -1080,65 +1072,89 @@ set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCo
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[25]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[27]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[10]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][6]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][5]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][9]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][13]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][7]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][2]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][9]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][13]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][11]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][3]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][12]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][13]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][6]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][1]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][9]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][5]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][1]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][13]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][2]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][4]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][11]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][1]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][3]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][11]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][8]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][9]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][3]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][0]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][6]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][8]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][5]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][10]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][6]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][0]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][10]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][0]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][3]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][4]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][2]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][4]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][4]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][2]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][7]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][1]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][7]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][10]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][5]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][12]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][12]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[3][12]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][8]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[1][11]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][8]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][10]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[0][7]}]
|
|
|
-set_property MARK_DEBUG true [get_nets {adcDataBus[2][0]}]
|
|
|
-set_property MARK_DEBUG true [get_nets ExternalDspInterface/Sck_o]
|
|
|
-set_property MARK_DEBUG true [get_nets ExternalDspInterface/Ss0_o]
|
|
|
-set_property MARK_DEBUG true [get_nets ExternalDspInterface/Ss1_o]
|
|
|
-set_property MARK_DEBUG true [get_nets ExternalDspInterface/Mosi_o]
|
|
|
-set_property MARK_DEBUG true [get_nets ExternalDspInterface/DspSlaveSpi/directTransit]
|
|
|
|
|
|
+
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][1]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][3]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][5]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][7]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][9]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][11]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][12]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][0]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][2]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][4]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][6]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][8]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][10]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[0][13]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][3]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][4]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][6]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][7]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][8]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][9]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][0]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][1]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][2]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][5]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][10]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][11]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][12]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[1][13]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][1]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][5]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][3]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][4]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][6]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][7]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][8]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][9]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][2]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][10]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][11]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][0]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][12]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[2][13]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][0]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][2]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][3]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][7]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][8]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][9]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][13]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][10]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][1]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][4]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][5]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][6]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][11]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adcDataBus[3][12]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[6]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[25]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[18]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[9]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[20]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[21]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[19]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[13]}]
|
|
|
+set_property MARK_DEBUG false [get_nets ExternalDspInterface/DspSlaveSpi/directTransit]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[8]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[5]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[3]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[15]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[16]}]
|
|
|
+set_property MARK_DEBUG false [get_nets ExternalDspInterface/Ss1_o]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[10]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[4]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[11]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[12]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[7]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[24]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[17]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[23]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[22]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[14]}]
|
|
|
+set_property MARK_DEBUG false [get_nets ExternalDspInterface/Mosi_o]
|
|
|
+set_property MARK_DEBUG false [get_nets ExternalDspInterface/Ss0_o]
|
|
|
+set_property MARK_DEBUG false [get_nets ExternalDspInterface/Sck_o]
|