Explorar o código

Добавлены изменения в топ файл из топ файла от S5443

Mihail Zaytsev %!s(int64=2) %!d(string=hai) anos
pai
achega
ac97ff0d90

+ 33 - 5
S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc

@@ -183,16 +183,16 @@ set_property IOSTANDARD LVCMOS33 [get_ports TimersClk_o]
 
 
 set_property PACKAGE_PIN H17 [get_ports {AmpEn_o[0]}]
-set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[0]}];	#R2
+set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[0]}]
 
 set_property PACKAGE_PIN B16 [get_ports {AmpEn_o[1]}]
-set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[1]}];	#T2
+set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[1]}]
 
 set_property PACKAGE_PIN V16 [get_ports {AmpEn_o[2]}]
-set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[2]}];	#R1
+set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[2]}]
 
 set_property PACKAGE_PIN V14 [get_ports {AmpEn_o[3]}]
-set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[3]}];	#T1
+set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[3]}]
 
 
 
@@ -1017,7 +1017,6 @@ set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNu
 set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[14]}]
 set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[7]}]
 set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[16]}]
-set_property MARK_DEBUG false [get_nets InternalDsp/StartMeasDsp_i]
 set_property MARK_DEBUG false [get_nets {adc1ImR1[29]}]
 set_property MARK_DEBUG false [get_nets {adc1ImR1[11]}]
 set_property MARK_DEBUG false [get_nets {adc1ImR1[2]}]
@@ -1169,3 +1168,32 @@ set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNu
 set_property MARK_DEBUG false [get_nets ExternalDspInterface/Mosi_o]
 set_property MARK_DEBUG false [get_nets ExternalDspInterface/Ss0_o]
 set_property MARK_DEBUG false [get_nets ExternalDspInterface/Sck_o]
+
+set_property MARK_DEBUG false [get_nets {pulseBus__0[6]}]
+set_property MARK_DEBUG false [get_nets {pulseBus__0[5]}]
+set_property MARK_DEBUG false [get_nets {pulseBus__0[4]}]
+set_property MARK_DEBUG false [get_nets {pulseBus[3]}]
+set_property MARK_DEBUG false [get_nets {pulseBus__0[2]}]
+set_property MARK_DEBUG false [get_nets {pulseBus__0[1]}]
+set_property MARK_DEBUG false [get_nets {pulseBus__0[0]}]
+set_property MARK_DEBUG false [get_nets intTrig1]
+
+set_property MARK_DEBUG false [get_nets {MeasTrigMux/MuxCtrl_i[0]}]
+set_property MARK_DEBUG false [get_nets {MeasTrigMux/MuxCtrl_i[1]}]
+set_property MARK_DEBUG false [get_nets {MeasTrigMux/MuxCtrl_i[2]}]
+set_property MARK_DEBUG false [get_nets {MeasTrigMux/MuxCtrl_i[3]}]
+set_property MARK_DEBUG false [get_nets {MeasTrigMux/MuxCtrl_i[4]}]
+set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[0]}]
+set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[1]}]
+set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[2]}]
+set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[3]}]
+set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[4]}]
+set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[5]}]
+set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[6]}]
+set_property MARK_DEBUG false [get_nets startMeasEvent]
+set_property MARK_DEBUG false [get_nets trigForIntTrig2]
+set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenMux/IntTrig2_i}]
+set_property MARK_DEBUG false [get_nets MeasTrigMux/MuxOut_o]
+set_property MARK_DEBUG false [get_nets MeasStartEventGenInst/measTrigPos]
+set_property MARK_DEBUG false [get_nets InternalDsp/StartMeasDsp_i]
+set_property MARK_DEBUG false [get_nets IntTrig2GenInst/StartMeasDsp_i]

+ 74 - 23
S5443_M/S5443.srcs/sources_1/new/S5243Top.v

@@ -1,4 +1,5 @@
 `timescale 1ns / 1ps
+(* keep_hierarchy = "yes" *)
 //////////////////////////////////////////////////////////////////////////////////
 // company: 
 // engineer: 
@@ -26,6 +27,7 @@
 //////////////////////////////////////////////////////////////////////////////////
 
 //  xc7s25-2csga225
+// new feature added
 
 module	S5243Top
 #(	
@@ -161,7 +163,9 @@ module	S5243Top
 	
 	reg		startMeasSync;
 	wire	startMeasEvent;
-	wire	startMeasEventR;
+	wire	intTrig1;
+	reg		startMeasEventReg;
+	
 	wire	gatingPulse;
 	wire	sampleStrobe;
 	wire	[ChNum-1:0]	measStartBus;
@@ -278,6 +282,9 @@ module	S5243Top
 	wire	measWind;
 	
 	wire	measTrig;
+	wire	trigForIntTrig2;
+	wire	intTrig2;
+	
 	wire	measTrigVal;
 	
 	wire	refSeqPulse;
@@ -762,7 +769,7 @@ NcoRstGen	NcoRstGenInst
 	.StartMeasEvent_i	(startMeasEvent),
 	
 	.NcoRst_o			(ncoRst),
-	.StartMeasEvent_o	(startMeasEventR)	
+	.StartMeasEvent_o	(intTrig1)	
 );
 
 InternalDsp	
@@ -1027,56 +1034,56 @@ generate
 	end
 endgenerate
 
-always	@(posedge	gclk)	begin
+always	@(*)	begin
 	if	(!initRst)	begin
 		case(gainAutoEn)
 			4'd0:	begin
-						measStart	<=	&measStartBus;
+						measStart	=	&measStartBus;
 					end
 			4'd1:	begin
-						measStart	<=	measStartBus[0];
+						measStart	=	measStartBus[0];
 					end
 			4'd2:	begin
-						measStart	<=	measStartBus[1];
+						measStart	=	measStartBus[1];
 					end
 			4'd3:	begin
-						measStart	<=	measStartBus[0]&measStartBus[1];
+						measStart	=	measStartBus[0]&measStartBus[1];
 					end
 			4'd4:	begin
-						measStart	<=	&measStartBus[2];
+						measStart	=	&measStartBus[2];
 					end
 			4'd5:	begin
-						measStart	<=	measStartBus[0]&measStartBus[2];
+						measStart	=	measStartBus[0]&measStartBus[2];
 					end
 			4'd6:	begin
-						measStart	<=	measStartBus[1]&measStartBus[2];
+						measStart	=	measStartBus[1]&measStartBus[2];
 					end
 			4'd7:	begin
-						measStart	<=	measStartBus[0]&measStartBus[1]&measStartBus[2];
+						measStart	=	measStartBus[0]&measStartBus[1]&measStartBus[2];
 					end
 			4'd8:	begin
-						measStart	<=	measStartBus[3];
+						measStart	=	measStartBus[3];
 					end
 			4'd9:	begin
-						measStart	<=	measStartBus[0]&measStartBus[3];
+						measStart	=	measStartBus[0]&measStartBus[3];
 					end
 			4'd10:	begin
-						measStart	<=	measStartBus[1]&measStartBus[3];
+						measStart	=	measStartBus[1]&measStartBus[3];
 					end
 			4'd11:	begin
-						measStart	<=	measStartBus[0]&measStartBus[1]&measStartBus[3];
+						measStart	=	measStartBus[0]&measStartBus[1]&measStartBus[3];
 					end
 			4'd12:	begin
-						measStart	<=	measStartBus[2]&measStartBus[3];
+						measStart	=	measStartBus[2]&measStartBus[3];
 					end
 			4'd13:	begin
-						measStart	<=	measStartBus[0]&measStartBus[2]&measStartBus[3];
+						measStart	=	measStartBus[0]&measStartBus[2]&measStartBus[3];
 					end
 			4'd14:	begin
-						measStart	<=	measStartBus[1]&measStartBus[2]&measStartBus[3];
+						measStart	=	measStartBus[1]&measStartBus[2]&measStartBus[3];
 					end
 			4'd15:	begin
-						measStart	<=	&measStartBus;
+						measStart	=	&measStartBus;
 					end		
 		endcase
 	end
@@ -1100,6 +1107,7 @@ DspTrigMux
 	.DspTrigOut_i	(1'b0),
 	.DspStartCmd_i	(1'b0),
 	.IntTrig_i		(1'b0),
+	.IntTrig2_i		(1'b0),
 	.PulseBus_i		(7'd0),
 	.ExtPortsBus_i	(Trig6to1_io),
 	
@@ -1137,6 +1145,7 @@ MeasTrigMux
 	.DspTrigOut_i	(1'b0),
 	.DspStartCmd_i	(startMeasSync),
 	.IntTrig_i		(1'b0),
+	.IntTrig2_i		(1'b0),
 	.PulseBus_i		(7'b0),
 	.ExtPortsBus_i	(Trig6to1_io),
 	
@@ -1154,7 +1163,41 @@ MeasStartEventGen	MeasStartEventGenInst
 	.MeasTrig_i			(measTrig),
 	.StartMeasDsp_i		(startMeasSync),
 	
-	.StartMeasEvent_o	(startMeasEvent)
+	.StartMeasEvent_o	(startMeasEvent),
+	.InitTrig_o			()
+);
+//--------------------------------------------------------------------------------
+//	IntTrig2 Mux
+//--------------------------------------------------------------------------------	
+
+TrigInt2Mux
+#(	
+	.PGenNum		(PGenNum)
+)
+InitTrig2Mux
+(
+	.Rst_i			(initRst),
+	
+	.MuxCtrl_i		(muxCtrl3[23:20]),
+	.PulseBus_i		(pulseBus),
+	
+	.MuxOut_o		(trigForIntTrig2)
+);	
+
+//--------------------------------------------------------------------------------
+//	MeasStartEventGen
+//--------------------------------------------------------------------------------	
+MeasStartEventGen	IntTrig2GenInst
+(
+	.Rst_i				(initRst),
+	.Clk_i				(gclk),
+	
+	.MeasTrig_i			(trigForIntTrig2),
+	// .StartMeasDsp_i		(startMeasEvent),
+	.StartMeasDsp_i		(intTrig1),
+	
+	.StartMeasEvent_o	(),
+	.InitTrig_o			(intTrig2)
 );
 
 //--------------------------------------------------------------------------------
@@ -1193,7 +1236,8 @@ PulseGenMux
 
 	.DspTrigOut_i	(1'b0),
 	.DspStartCmd_i	(1'b0),
-	.IntTrig_i		(startMeasEventR),
+	.IntTrig_i		(intTrig1),
+	.IntTrig2_i		(intTrig2),
 	.PulseBus_i		(pulseBus),
 	.ExtPortsBus_i	(Trig6to1_io),
 	
@@ -1225,6 +1269,8 @@ PulseGenerator
 end
 endgenerate
 
+
+
 //--------------------------------------------------------------------------------
 //	External ports mux 
 //--------------------------------------------------------------------------------	
@@ -1245,8 +1291,9 @@ ExtPortsMux
 	.MuxCtrl_i		(extTrigMuxCtrlArray[l]),
 
 	.DspTrigOut_i	(DspTrigOut_i),
-	.DspStartCmd_i	(1'b0),
-	.IntTrig_i		(1'b0),
+	.DspStartCmd_i	(startMeasSync), //tut nichego nebilo 14.02.2023 zamknul suda startMeasSync
+	.IntTrig_i		(intTrig1),
+	.IntTrig2_i		(intTrig2),
 	.PulseBus_i		(pulseBus),
 	.ExtPortsBus_i	(Trig6to1_io),
 	
@@ -1274,6 +1321,7 @@ SlowModMux
 	.DspTrigOut_i	(1'b0),
 	.DspStartCmd_i	(1'b0),
 	.IntTrig_i		(1'b0),
+	.IntTrig2_i		(1'b0),
 	.PulseBus_i		(pulseBus),
 	.ExtPortsBus_i	(Trig6to1_io),
 	
@@ -1298,6 +1346,7 @@ FastModMux
 	.DspTrigOut_i	(1'b0),
 	.DspStartCmd_i	(1'b0),
 	.IntTrig_i		(1'b0),
+	.IntTrig2_i		(1'b0),
 	.PulseBus_i		(pulseBus),
 	.ExtPortsBus_i	(Trig6to1_io),
 	
@@ -1322,6 +1371,7 @@ GatingMux
 	.DspTrigOut_i	(1'b0),
 	.DspStartCmd_i	(1'b0),
 	.IntTrig_i		(1'b0),
+	.IntTrig2_i		(1'b0),
 	.PulseBus_i		(pulseBus),
 	.ExtPortsBus_i	(Trig6to1_io),
 	
@@ -1346,6 +1396,7 @@ SampleStrobeMux
 	.DspTrigOut_i	(1'b0),
 	.DspStartCmd_i	(1'b0),
 	.IntTrig_i		(1'b0),
+	.IntTrig2_i		(1'b0),
 	.PulseBus_i		(pulseBus),
 	.ExtPortsBus_i	(Trig6to1_io),