# set_property PACKAGE_PIN U17 [get_ports Adc1DataDa0P_i] # set_property PACKAGE_PIN R18 [get_ports Adc1DataDa1P_i] # set_property PACKAGE_PIN M18 [get_ports Adc1DataDb0P_i] # set_property PACKAGE_PIN L17 [get_ports Adc1DataDb1P_i] # set_property PACKAGE_PIN H18 [get_ports Adc2DataDa0P_i] # set_property PACKAGE_PIN F18 [get_ports Adc2DataDa1P_i] # set_property PACKAGE_PIN C17 [get_ports Adc2DataDb0P_i] # set_property PACKAGE_PIN B17 [get_ports Adc2DataDb1P_i] set_property PACKAGE_PIN H18 [get_ports Adc1DataDa0P_i] set_property PACKAGE_PIN F18 [get_ports Adc1DataDa1P_i] set_property PACKAGE_PIN C17 [get_ports Adc1DataDb0P_i] set_property PACKAGE_PIN B17 [get_ports Adc1DataDb1P_i] set_property PACKAGE_PIN U17 [get_ports Adc2DataDa0P_i] set_property PACKAGE_PIN R18 [get_ports Adc2DataDa1P_i] set_property PACKAGE_PIN M18 [get_ports Adc2DataDb0P_i] set_property PACKAGE_PIN L17 [get_ports Adc2DataDb1P_i] #========================================================================== # TIMING CONSTRAINTS #========================================================================== # INPUT CLOCKS set_property PACKAGE_PIN P2 [get_ports ClkP_i] set_property IOSTANDARD LVDS_25 [get_ports ClkP_i] set_property IOSTANDARD LVDS_25 [get_ports ClkN_i] create_clock -period 20.000 [get_ports ClkP_i] #========================================================================== # ADC1 set_property PACKAGE_PIN D18 [get_ports Adc1FclkP_i] set_property IOSTANDARD LVDS_25 [get_ports Adc1FclkP_i] set_property IOSTANDARD LVDS_25 [get_ports Adc1FclkN_i] set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa0P_i] set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa0N_i] set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa1P_i] set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa1N_i] set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb0P_i] set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb0N_i] set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb1P_i] set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb1N_i] #========================================================================== # ADC2 set_property PACKAGE_PIN R16 [get_ports Adc2FclkP_i] set_property IOSTANDARD LVDS_25 [get_ports Adc2FclkP_i] set_property IOSTANDARD LVDS_25 [get_ports Adc2FclkN_i] set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa0P_i] set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa0N_i] set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa1P_i] set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa1N_i] set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb0P_i] set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb0N_i] set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb1P_i] set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb1N_i] #========================================================================== # DSP interface set_property PACKAGE_PIN K17 [get_ports Miso_o] set_property IOSTANDARD LVCMOS25 [get_ports Miso_o] set_property PACKAGE_PIN K18 [get_ports Mosi_i] set_property IOSTANDARD LVCMOS25 [get_ports Mosi_i] set_property PACKAGE_PIN V12 [get_ports Ss_i] set_property IOSTANDARD LVCMOS25 [get_ports Ss_i] set_property PACKAGE_PIN T14 [get_ports Sck_i] set_property IOSTANDARD LVCMOS25 [get_ports Sck_i] #create_clock -period 24.000 [get_ports Sck_i] create_clock -period 16.000 [get_ports Sck_i] set_property PACKAGE_PIN R1 [get_ports LpOutClk_o] set_property IOSTANDARD LVCMOS33 [get_ports LpOutClk_o] set_property PACKAGE_PIN N1 [get_ports LpOutFs_o] set_property IOSTANDARD LVCMOS33 [get_ports LpOutFs_o] set_property PACKAGE_PIN V7 [get_ports {LpOutData_o[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[0]}] set_property PACKAGE_PIN U7 [get_ports {LpOutData_o[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[1]}] set_property PACKAGE_PIN V6 [get_ports {LpOutData_o[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[2]}] set_property PACKAGE_PIN U6 [get_ports {LpOutData_o[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[3]}] set_property PACKAGE_PIN V5 [get_ports {LpOutData_o[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[4]}] set_property PACKAGE_PIN T5 [get_ports {LpOutData_o[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[5]}] set_property PACKAGE_PIN T4 [get_ports {LpOutData_o[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[6]}] set_property PACKAGE_PIN V4 [get_ports {LpOutData_o[7]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[7]}] set_property PACKAGE_PIN U3 [get_ports {LpOutData_o[8]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[8]}] set_property PACKAGE_PIN V3 [get_ports {LpOutData_o[9]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[9]}] set_property PACKAGE_PIN U2 [get_ports {LpOutData_o[10]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[10]}] set_property PACKAGE_PIN V2 [get_ports {LpOutData_o[11]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[11]}] set_property PACKAGE_PIN T2 [get_ports {LpOutData_o[12]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[12]}] set_property PACKAGE_PIN U1 [get_ports {LpOutData_o[13]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[13]}] set_property PACKAGE_PIN R2 [get_ports {LpOutData_o[14]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[14]}] set_property PACKAGE_PIN T1 [get_ports {LpOutData_o[15]}] set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[15]}] #========================================================================== # ADC SPI set_property PACKAGE_PIN E15 [get_ports Adc1InitMosi_o] set_property IOSTANDARD LVCMOS25 [get_ports Adc1InitMosi_o] set_property PACKAGE_PIN N15 [get_ports Adc2InitMosi_o] set_property IOSTANDARD LVCMOS25 [get_ports Adc2InitMosi_o] set_property PACKAGE_PIN F15 [get_ports Adc1InitClk_o] set_property IOSTANDARD LVCMOS25 [get_ports Adc1InitClk_o] set_property PACKAGE_PIN P16 [get_ports Adc2InitClk_o] set_property IOSTANDARD LVCMOS25 [get_ports Adc2InitClk_o] set_property PACKAGE_PIN G15 [get_ports Adc1InitCs_o] set_property IOSTANDARD LVCMOS25 [get_ports Adc1InitCs_o] set_property PACKAGE_PIN P15 [get_ports Adc2InitCs_o] set_property IOSTANDARD LVCMOS25 [get_ports Adc2InitCs_o] set_property PACKAGE_PIN H15 [get_ports Adc1InitRst_o] set_property IOSTANDARD LVCMOS25 [get_ports Adc1InitRst_o] set_property PACKAGE_PIN R15 [get_ports Adc2InitRst_o] set_property IOSTANDARD LVCMOS25 [get_ports Adc2InitRst_o] # #========================================================================== # OTHER set_property PACKAGE_PIN J6 [get_ports Led_o] set_property IOSTANDARD LVCMOS33 [get_ports Led_o] set_property PACKAGE_PIN R4 [get_ports Overload_o] set_property IOSTANDARD LVCMOS33 [get_ports Overload_o] #set_property PACKAGE_PIN R8 [get_ports OverloadS_i] ;#NC #set_property IOSTANDARD LVCMOS33 [get_ports OverloadS_i] set_property PACKAGE_PIN T3 [get_ports StartMeas_i] set_property IOSTANDARD LVCMOS33 [get_ports StartMeas_i] set_property PACKAGE_PIN N3 [get_ports EndMeas_o] set_property IOSTANDARD LVCMOS33 [get_ports EndMeas_o] #set_property PACKAGE_PIN T3 [get_ports StartMeas_o] ;#NC #set_property IOSTANDARD LVCMOS33 [get_ports StartMeas_o] set_property PACKAGE_PIN R3 [get_ports TimersClk_o] set_property IOSTANDARD LVCMOS33 [get_ports TimersClk_o] # set_property PACKAGE_PIN V14 [get_ports {AmpEn_o[0]}] # set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[0]}] # set_property PACKAGE_PIN H17 [get_ports {AmpEn_o[1]}] # set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[1]}] # set_property PACKAGE_PIN V16 [get_ports {AmpEn_o[2]}] # set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[2]}] # set_property PACKAGE_PIN B16 [get_ports {AmpEn_o[3]}] # set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[3]}] set_property PACKAGE_PIN H17 [get_ports {AmpEn_o[0]}] set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[0]}] set_property PACKAGE_PIN B16 [get_ports {AmpEn_o[1]}] set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[1]}] set_property PACKAGE_PIN V16 [get_ports {AmpEn_o[2]}] set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[2]}] set_property PACKAGE_PIN V14 [get_ports {AmpEn_o[3]}] set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[3]}] set_property PACKAGE_PIN K3 [get_ports {PortSel_o[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {PortSel_o[0]}] set_property PACKAGE_PIN K4 [get_ports {PortSel_o[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {PortSel_o[1]}] #set_property PACKAGE_PIN R3 [get_ports {PortSel_o[2]}] ;#NC #set_property IOSTANDARD LVCMOS25 [get_ports {PortSel_o[2]}] #set_property PACKAGE_PIN P3 [get_ports {PortSel_o[3]}] ;#NC #set_property IOSTANDARD LVCMOS25 [get_ports {PortSel_o[3]}] #set_property PACKAGE_PIN F14 [get_ports {PortSelDir_o[0]}] ;#NC BUFF_DIR #set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[0]}] #set_property PACKAGE_PIN F15 [get_ports {PortSelDir_o[1]}] ;#NC #set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[1]}] #set_property PACKAGE_PIN R4 [get_ports {PortSelDir_o[2]}] ;#NC #set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[2]}] #set_property PACKAGE_PIN M4 [get_ports {PortSelDir_o[3]}] ;#NC #set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[3]}] #set_property PACKAGE_PIN R7 [get_ports SensEnM_io] ;#NC #set_property IOSTANDARD LVCMOS33 [get_ports SensEnM_io] #set_property PACKAGE_PIN T3 [get_ports StartMeasDsp_o] ;#NC #set_property IOSTANDARD LVCMOS33 [get_ports StartMeasDsp_o] set_property PACKAGE_PIN K2 [get_ports Mod_o] set_property IOSTANDARD LVCMOS33 [get_ports Mod_o] set_property PACKAGE_PIN N2 [get_ports DspTrigOut_i] set_property IOSTANDARD LVCMOS33 [get_ports DspTrigOut_i] set_property PACKAGE_PIN M2 [get_ports DspTrigIn_o] set_property IOSTANDARD LVCMOS33 [get_ports DspTrigIn_o] set_property PACKAGE_PIN L4 [get_ports {Trig6to1_io[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {Trig6to1_io[0]}] set_property PACKAGE_PIN K1 [get_ports {Trig6to1_io[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {Trig6to1_io[1]}] set_property PACKAGE_PIN B13 [get_ports {Trig6to1_io[2]}] set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[2]}] set_property PACKAGE_PIN A13 [get_ports {Trig6to1_io[3]}] set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[3]}] set_property PACKAGE_PIN B14 [get_ports {Trig6to1_io[4]}] set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[4]}] set_property PACKAGE_PIN A14 [get_ports {Trig6to1_io[5]}] set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[5]}] set_property PACKAGE_PIN N5 [get_ports {Trig6to1Dir_o[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {Trig6to1Dir_o[0]}] set_property PACKAGE_PIN M5 [get_ports {Trig6to1Dir_o[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {Trig6to1Dir_o[1]}] set_property PACKAGE_PIN D12 [get_ports {Trig6to1Dir_o[2]}] set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[2]}] set_property PACKAGE_PIN E12 [get_ports {Trig6to1Dir_o[3]}] set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[3]}] set_property PACKAGE_PIN B15 [get_ports {Trig6to1Dir_o[4]}] set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[4]}] set_property PACKAGE_PIN A15 [get_ports {Trig6to1Dir_o[5]}] set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[5]}] set_property PACKAGE_PIN A16 [get_ports DitherCtrlCh1_o] set_property IOSTANDARD LVCMOS25 [get_ports DitherCtrlCh1_o] set_property PACKAGE_PIN V15 [get_ports DitherCtrlCh2_o] set_property IOSTANDARD LVCMOS25 [get_ports DitherCtrlCh2_o] set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Ss_i_IBUF] set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Sck_i_IBUF] set_false_path -from [get_clocks -of_objects [get_pins Clk200Gen/rx_plle2_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins Clk200Gen/rx_plle2_adv_inst/CLKOUT0]] connect_debug_port u_ila_0/probe1 [get_nets [list {ExternalDspInterface/filteredDecimDataQ[0]} {ExternalDspInterface/filteredDecimDataQ[1]} {ExternalDspInterface/filteredDecimDataQ[2]} {ExternalDspInterface/filteredDecimDataQ[3]} {ExternalDspInterface/filteredDecimDataQ[4]} {ExternalDspInterface/filteredDecimDataQ[5]} {ExternalDspInterface/filteredDecimDataQ[6]} {ExternalDspInterface/filteredDecimDataQ[7]} {ExternalDspInterface/filteredDecimDataQ[8]} {ExternalDspInterface/filteredDecimDataQ[9]} {ExternalDspInterface/filteredDecimDataQ[10]} {ExternalDspInterface/filteredDecimDataQ[11]} {ExternalDspInterface/filteredDecimDataQ[12]} {ExternalDspInterface/filteredDecimDataQ[13]} {ExternalDspInterface/filteredDecimDataQ[14]} {ExternalDspInterface/filteredDecimDataQ[15]}]] connect_debug_port u_ila_0/probe1 [get_nets [list ExternalDspInterface/dataForFifoVal]] connect_debug_port u_ila_0/probe3 [get_nets [list {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[0]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[1]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[2]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[3]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[4]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[5]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[6]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[7]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[8]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[9]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[10]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[11]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[12]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[13]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[14]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[15]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[16]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[17]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[18]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[19]}]] set_property MARK_DEBUG false [get_nets {muxCtrl1[2]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[4]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[6]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[8]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[15]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[23]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[1]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[3]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[7]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[10]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[14]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[16]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[20]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[21]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[0]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[5]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[9]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[12]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[13]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[17]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[22]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[11]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[18]}] set_property MARK_DEBUG false [get_nets {muxCtrl1[19]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[5]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[11]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[12]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[19]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[21]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[0]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[1]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[3]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[14]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[16]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[18]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[22]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[2]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[4]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[7]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[13]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[15]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[6]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[8]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[9]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[10]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[17]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[20]}] set_property MARK_DEBUG false [get_nets {muxCtrl2[23]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[0]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[6]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[8]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[12]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[17]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[18]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[22]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[5]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[10]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[11]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[15]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[21]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[1]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[2]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[3]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[20]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[23]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[4]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[7]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[9]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[13]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[14]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[16]}] set_property MARK_DEBUG false [get_nets {muxCtrl4[19]}] set_property MARK_DEBUG false [get_nets {pgMode0[21]}] set_property MARK_DEBUG false [get_nets {pgMode0[0]}] set_property MARK_DEBUG false [get_nets {pgMode0[3]}] set_property MARK_DEBUG false [get_nets {pgMode0[7]}] set_property MARK_DEBUG false [get_nets {pgMode0[11]}] set_property MARK_DEBUG false [get_nets {pgMode0[15]}] set_property MARK_DEBUG false [get_nets {pgMode0[16]}] set_property MARK_DEBUG false [get_nets {pgMode0[1]}] set_property MARK_DEBUG false [get_nets {pgMode0[5]}] set_property MARK_DEBUG false [get_nets {pgMode0[8]}] set_property MARK_DEBUG false [get_nets {pgMode0[13]}] set_property MARK_DEBUG false [get_nets {pgMode0[18]}] set_property MARK_DEBUG false [get_nets {pgMode0[19]}] set_property MARK_DEBUG false [get_nets {pgMode0[22]}] set_property MARK_DEBUG false [get_nets {pgMode0[2]}] set_property MARK_DEBUG false [get_nets {pgMode0[6]}] set_property MARK_DEBUG false [get_nets {pgMode0[14]}] set_property MARK_DEBUG false [get_nets {pgMode0[17]}] set_property MARK_DEBUG false [get_nets {pgMode0[20]}] set_property MARK_DEBUG false [get_nets {pgMode0[23]}] set_property MARK_DEBUG false [get_nets {pgMode0[4]}] set_property MARK_DEBUG false [get_nets {pgMode0[9]}] set_property MARK_DEBUG false [get_nets {pgMode0[10]}] set_property MARK_DEBUG false [get_nets {pgMode0[12]}] set_property MARK_DEBUG false [get_nets {pgMode1[1]}] set_property MARK_DEBUG false [get_nets {pgMode1[2]}] set_property MARK_DEBUG false [get_nets {pgMode1[7]}] set_property MARK_DEBUG false [get_nets {pgMode1[8]}] set_property MARK_DEBUG false [get_nets {pgMode1[13]}] set_property MARK_DEBUG false [get_nets {pgMode1[14]}] set_property MARK_DEBUG false [get_nets {pgMode1[4]}] set_property MARK_DEBUG false [get_nets {pgMode1[6]}] set_property MARK_DEBUG false [get_nets {pgMode1[9]}] set_property MARK_DEBUG false [get_nets {pgMode1[12]}] set_property MARK_DEBUG false [get_nets {pgMode1[15]}] set_property MARK_DEBUG false [get_nets {pgMode1[19]}] set_property MARK_DEBUG false [get_nets {pgMode1[22]}] set_property MARK_DEBUG false [get_nets {pgMode1[5]}] set_property MARK_DEBUG false [get_nets {pgMode1[21]}] set_property MARK_DEBUG false [get_nets {pgMode1[23]}] set_property MARK_DEBUG false [get_nets {pgMode1[0]}] set_property MARK_DEBUG false [get_nets {pgMode1[3]}] set_property MARK_DEBUG false [get_nets {pgMode1[11]}] set_property MARK_DEBUG false [get_nets {pgMode1[16]}] set_property MARK_DEBUG false [get_nets {pgMode1[18]}] set_property MARK_DEBUG false [get_nets {pgMode1[20]}] set_property MARK_DEBUG false [get_nets {pgMode1[10]}] set_property MARK_DEBUG false [get_nets {pgMode1[17]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[13]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[20]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[22]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[2].ExtPortsMux/MuxCtrl_i[0]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[10]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[14]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[21]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[5].ExtPortsMux/MuxCtrl_i[2]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[4].ExtPortsMux/MuxCtrl_i[0]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[5]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[4].ExtPortsMux/MuxCtrl_i[4]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[1]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[11]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[5].ExtPortsMux/MuxCtrl_i[0]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[17]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[0]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[23]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[7]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[16]}] set_property MARK_DEBUG false [get_nets measDataRdy] set_property MARK_DEBUG false [get_nets LpOutFs_o_OBUF] set_property MARK_DEBUG false [get_nets {ExtPortsMux[4].ExtPortsMux/MuxCtrl_i[2]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[5].ExtPortsMux/MuxCtrl_i[4]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[2].ExtPortsMux/MuxCtrl_i[1]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[2].ExtPortsMux/MuxCtrl_i[3]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[4]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[9]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[5].ExtPortsMux/MuxCtrl_i[3]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[12]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[4].ExtPortsMux/MuxCtrl_i[1]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[3].ExtPortsMux/MuxCtrl_i[2]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[6]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[19]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[3].ExtPortsMux/MuxCtrl_i[0]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[3].ExtPortsMux/MuxCtrl_i[3]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[2]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[3]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[8]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[5].ExtPortsMux/MuxCtrl_i[1]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[3].ExtPortsMux/MuxCtrl_i[1]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[18]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[2].ExtPortsMux/MuxCtrl_i[4]}] set_property MARK_DEBUG false [get_nets {muxCtrl3[15]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[2].ExtPortsMux/MuxCtrl_i[2]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[4].ExtPortsMux/MuxCtrl_i[3]}] set_property MARK_DEBUG false [get_nets {ExtPortsMux[3].ExtPortsMux/MuxCtrl_i[4]}] set_property MARK_DEBUG false [get_nets startMeasSync_reg_n_0] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[6][3]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[5][4]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[5][3]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[5][2]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[5][1]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[5][0]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[4][4]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[4][0]}] set_property MARK_DEBUG false [get_nets RegMapInst_n_2084] set_property MARK_DEBUG false [get_nets RegMapInst_n_2085] set_property MARK_DEBUG false [get_nets RegMapInst_n_2086] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[6][4]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[6][2]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[6][1]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[6][0]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[4][3]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[4][2]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[4][1]}] set_property MARK_DEBUG false [get_nets RegMapInst_n_2083] set_property MARK_DEBUG false [get_nets RegMapInst_n_2087] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[2][1]}] set_property MARK_DEBUG false [get_nets RegMapInst_n_2111] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[2][3]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[2][2]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[1][4]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[1][2]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[0][3]}] set_property MARK_DEBUG false [get_nets RegMapInst_n_2108] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[2][4]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[2][0]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[1][3]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[0][4]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[1][1]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[1][0]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[0][2]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[0][1]}] set_property MARK_DEBUG false [get_nets {pgMuxCtrlArray[0][0]}] set_property MARK_DEBUG false [get_nets RegMapInst_n_2107] set_property MARK_DEBUG false [get_nets RegMapInst_n_2109] set_property MARK_DEBUG false [get_nets RegMapInst_n_2110] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[1][4]}] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[1][2]}] set_property MARK_DEBUG false [get_nets RegMapInst_n_2118] set_property MARK_DEBUG false [get_nets RegMapInst_n_2119] set_property MARK_DEBUG false [get_nets RegMapInst_n_2120] set_property MARK_DEBUG false [get_nets RegMapInst_n_2124] set_property MARK_DEBUG false [get_nets RegMapInst_n_2131] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[1][0]}] set_property MARK_DEBUG false [get_nets RegMapInst_n_2121] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[1][1]}] set_property MARK_DEBUG false [get_nets RegMapInst_n_2116] set_property MARK_DEBUG false [get_nets RegMapInst_n_2117] set_property MARK_DEBUG false [get_nets RegMapInst_n_2122] set_property MARK_DEBUG false [get_nets RegMapInst_n_2123] set_property MARK_DEBUG false [get_nets RegMapInst_n_2133] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[1][3]}] set_property MARK_DEBUG false [get_nets RegMapInst_n_2125] set_property MARK_DEBUG false [get_nets RegMapInst_n_2132] set_property MARK_DEBUG false [get_nets RegMapInst_n_2134] set_property MARK_DEBUG false [get_nets RegMapInst_n_2135] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[5][2]}] set_property MARK_DEBUG false [get_nets RegMapInst_n_2157] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[4][4]}] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[4][2]}] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[3][1]}] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[3][0]}] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[5][4]}] set_property MARK_DEBUG false [get_nets RegMapInst_n_2155] set_property MARK_DEBUG false [get_nets RegMapInst_n_2156] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[4][3]}] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[4][1]}] set_property MARK_DEBUG false [get_nets RegMapInst_n_2159] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[5][3]}] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[5][1]}] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[4][0]}] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[3][4]}] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[3][3]}] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[3][2]}] set_property MARK_DEBUG false [get_nets {extTrigMuxCtrlArray[5][0]}] set_property MARK_DEBUG false [get_nets RegMapInst_n_2158] set_property MARK_DEBUG false [get_nets {pgEnEdgeArray[0]}] set_property MARK_DEBUG false [get_nets {pgPulsePolArray[0]}] set_property MARK_DEBUG false [get_nets ] set_property MARK_DEBUG false [get_nets pgMuxedOut_0] set_property MARK_DEBUG false [get_nets Sck_i_IBUF_BUFG] set_property MARK_DEBUG false [get_nets Mosi_i_IBUF] set_property MARK_DEBUG false [get_nets Ss_i_IBUF] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/Mode_i[0]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/Mode_i[1]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/Mode_i[2]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[8]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[2]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[18]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[9]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[14]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[2]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[6]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[9]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[11]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[19]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[28]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[4]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[5]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[16]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[29]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[30]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[12]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[27]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[5]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[7]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[0]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[17]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[21]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[6]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[7]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[20]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[26]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[29]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[3]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[25]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[27]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[1]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[20]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[26]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[3]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[4]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[10]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[13]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[11]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[19]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[22]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[24]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[28]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[15]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[23]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[24]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[15]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[0]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[1]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[16]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[17]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[21]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[22]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[18]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[23]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[14]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[12]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/CmdDataReg_o[30]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[13]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[8]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[10]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[25]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Del_i[31]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/PulsePol_i}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/EnEdge_i}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/EnPulse_i}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/EnEdge_i}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/EnPulse_i}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[21]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[27]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[8]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[18]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[25]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[15]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[8]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[20]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[16]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[22]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[9]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[25]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[26]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/PulsePol_i}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[17]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[26]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[31]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[19]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[27]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[7]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[16]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[3]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[9]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[11]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[27]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[6]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[5]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[10]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[1]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[10]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[29]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[4]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[13]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[29]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/Mode_i[0]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/Mode_i[2]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[25]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[31]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[7]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[18]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[28]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[7]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[3]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[20]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[24]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[1]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[14]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[20]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[22]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[24]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[15]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[30]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[0]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[11]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[13]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[0]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[2]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[12]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[17]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[17]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[24]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[2]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[4]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[6]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[21]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[8]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[12]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[16]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[15]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[4]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[30]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[18]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[21]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[3]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[5]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/Mode_i[1]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[13]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[19]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[26]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[2]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[9]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[19]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[14]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[23]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[22]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[23]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[23]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[28]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[5]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[10]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[31]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[14]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[1]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[12]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[0]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenerator/P1Width_i[30]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[6]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[11]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Del_i[29]}] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenerator/P1Width_i[28]}] set_property MARK_DEBUG false [get_nets ExternalDspInterface/OscDataRdFlag_o] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[8]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[16]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[9]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[5]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[13]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[0]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[9]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[12]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[15]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[5]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[3]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[5]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[7]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[2]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[14]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[16]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[6]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[7]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[17]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[19]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[2]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[1]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[11]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[15]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[6]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[1]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[5]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[6]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[12]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[2]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[3]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[12]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[10]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[12]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[15]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[0]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[10]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[14]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[16]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[0]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[6]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[17]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[18]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[8]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[13]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[1]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[9]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[15]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[10]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[4]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[1]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[7]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[8]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[13]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[19]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[8]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[18]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[4]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[11]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[18]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[14]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[3]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[3]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[19]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[0]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[10]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[14]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[18]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[13]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[17]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[9]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl1Reg_o[2]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[4]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl2Reg_o[11]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[4]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[11]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl3Reg_o[16]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[17]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[19]}] set_property MARK_DEBUG false [get_nets {RegMapInst/MuxCtrl4Reg_o[7]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[6]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[1]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[4]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[9]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[11]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[23]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[24]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[29]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[31]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[27]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[5]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[8]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[13]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[16]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[17]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[21]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[25]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[28]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[3]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[20]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[22]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[7]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[0]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[2]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[26]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[10]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[12]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[14]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[15]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[30]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[18]}] set_property MARK_DEBUG false [get_nets {adc1ImT1[19]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[23]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[25]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[17]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[19]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[22]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[20]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[24]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[2]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[14]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[10]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[12]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[21]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[5]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[1]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[0]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[8]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[13]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[16]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[3]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[4]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[11]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[18]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[15]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[7]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[9]}] set_property MARK_DEBUG false [get_nets {InternalDsp/windPointsNumReg_reg_n_0_[6]}] set_property MARK_DEBUG false [get_nets ExternalDspInterface/CmdDataVal_o] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/AnsAddr_o[0]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/AnsAddr_o[1]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/AnsAddr_o[2]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/AnsAddr_o[3]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/AnsAddr_o[4]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/AnsAddr_o[5]}] set_property MARK_DEBUG false [get_nets {ExternalDspInterface/AnsAddr_o[6]}] set_property MARK_DEBUG false [get_nets ExternalDspInterface/MeasDataFifoInst/wrEn] set_property MARK_DEBUG false [get_nets ExternalDspInterface/MeasDataFifoInst/fullFlag] set_property MARK_DEBUG false [get_nets ExternalDspInterface/MeasDataFifoInst/PpiBusy_i] set_property MARK_DEBUG false [get_nets ExternalDspInterface/MeasDataFifoInst/emptyFlag] set_property MARK_DEBUG false [get_nets ExternalDspInterface/MeasDataPpiOut/LpOutStart_i] set_property MARK_DEBUG false [get_nets InternalDsp/MeasEnd_o] set_property MARK_DEBUG false [get_nets ExternalDspInterface/MeasDataFifoInst/MeasDataVal_o] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[6]}] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[8]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[8]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[12]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[8]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[5]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[13]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[2]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[5]}] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[7]}] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[9]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[12]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[1]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[3]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[10]}] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[5]}] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[12]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[2]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[13]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[11]}] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[2]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[4]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[6]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[4]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[11]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[10]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[8]}] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[11]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[0]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[2]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[11]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[13]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[0]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[9]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[4]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[7]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[5]}] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[3]}] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[10]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[10]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[6]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[1]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[6]}] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[0]}] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[13]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[3]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[3]}] set_property MARK_DEBUG false [get_nets {adc2ChR2Data[7]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[0]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[9]}] set_property MARK_DEBUG false [get_nets {adc2ChT2Data[12]}] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[1]}] set_property MARK_DEBUG false [get_nets {adc1ChR1Data[4]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[1]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[7]}] set_property MARK_DEBUG false [get_nets {adc1ChT1Data[9]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[22]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[12]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[4]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[17]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[3]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[14]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[28]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[10]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[13]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[20]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[5]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[19]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[9]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[24]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[7]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[15]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[26]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[0]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[14]}] set_property MARK_DEBUG false [get_nets InternalDsp/MeasDataRdy_o] set_property MARK_DEBUG false [get_nets {adc1ImR1[18]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[13]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[9]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[4]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[6]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[26]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[12]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[13]}] set_property MARK_DEBUG false [get_nets InternalDsp/StartMeas_i] set_property MARK_DEBUG false [get_nets {adc1ImR1[1]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[28]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[0]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[18]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[5]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[8]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[5]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[30]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[2]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[22]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[4]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[15]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[27]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[31]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[2]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[8]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[3]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[13]}] set_property MARK_DEBUG false [get_nets InternalDsp/MeasCtrlModule/measWindEnd] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[17]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[21]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[6]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[19]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[3]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[6]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[22]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[25]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[19]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[19]}] set_property MARK_DEBUG false [get_nets InternalDsp/EndMeas_o] set_property MARK_DEBUG false [get_nets ExternalDspInterface/MeasDataFifoInst/MeasDataVal_i] set_property MARK_DEBUG false [get_nets {adc1ImR1[17]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[10]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[8]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[11]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[8]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[18]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[22]}] set_property MARK_DEBUG false [get_nets InternalDsp/MeasWind_o] set_property MARK_DEBUG false [get_nets {adc1ImR1[4]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[8]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[7]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[10]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[31]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[1]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[14]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[7]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[16]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[29]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[11]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[2]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[5]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[14]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[15]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[0]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[9]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[21]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[23]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[6]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[14]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[16]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[26]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[12]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[0]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[29]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[6]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[20]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[23]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[11]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[12]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[25]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[7]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[10]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[4]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[20]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[18]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[9]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[21]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[0]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[7]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[1]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[11]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[28]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[1]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[3]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[5]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[13]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[21]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[30]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[2]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[16]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[1]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[3]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[12]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[0]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[1]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[9]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[23]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[27]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[24]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[17]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[24]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[2]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[11]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[23]}] set_property MARK_DEBUG false [get_nets {adc1ImR1[20]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[2]}] set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[15]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[15]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[16]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[25]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[27]}] set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[10]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][1]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][3]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][5]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][7]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][9]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][11]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][12]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][0]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][2]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][4]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][6]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][8]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][10]}] set_property MARK_DEBUG false [get_nets {adcDataBus[0][13]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][3]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][4]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][6]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][7]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][8]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][9]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][0]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][1]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][2]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][5]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][10]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][11]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][12]}] set_property MARK_DEBUG false [get_nets {adcDataBus[1][13]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][1]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][5]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][3]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][4]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][6]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][7]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][8]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][9]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][2]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][10]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][11]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][0]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][12]}] set_property MARK_DEBUG false [get_nets {adcDataBus[2][13]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][0]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][2]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][3]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][7]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][8]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][9]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][13]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][10]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][1]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][4]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][5]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][6]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][11]}] set_property MARK_DEBUG false [get_nets {adcDataBus[3][12]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[6]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[25]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[18]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[9]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[20]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[21]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[19]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[13]}] set_property MARK_DEBUG false [get_nets ExternalDspInterface/DspSlaveSpi/directTransit] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[8]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[5]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[3]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[15]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[16]}] set_property MARK_DEBUG false [get_nets ExternalDspInterface/Ss1_o] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[10]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[4]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[11]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[12]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[7]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[24]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[17]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[23]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[22]}] set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[14]}] set_property MARK_DEBUG false [get_nets ExternalDspInterface/Mosi_o] set_property MARK_DEBUG false [get_nets ExternalDspInterface/Ss0_o] set_property MARK_DEBUG false [get_nets ExternalDspInterface/Sck_o] set_property MARK_DEBUG false [get_nets {pulseBus__0[6]}] set_property MARK_DEBUG false [get_nets {pulseBus__0[5]}] set_property MARK_DEBUG false [get_nets {pulseBus__0[4]}] set_property MARK_DEBUG false [get_nets {pulseBus[3]}] set_property MARK_DEBUG false [get_nets {pulseBus__0[2]}] set_property MARK_DEBUG false [get_nets {pulseBus__0[1]}] set_property MARK_DEBUG false [get_nets {pulseBus__0[0]}] set_property MARK_DEBUG false [get_nets intTrig1] set_property MARK_DEBUG false [get_nets {MeasTrigMux/MuxCtrl_i[0]}] set_property MARK_DEBUG false [get_nets {MeasTrigMux/MuxCtrl_i[1]}] set_property MARK_DEBUG false [get_nets {MeasTrigMux/MuxCtrl_i[2]}] set_property MARK_DEBUG false [get_nets {MeasTrigMux/MuxCtrl_i[3]}] set_property MARK_DEBUG false [get_nets {MeasTrigMux/MuxCtrl_i[4]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[0]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[1]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[2]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[3]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[4]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[5]}] set_property MARK_DEBUG false [get_nets {PGen[0].PulseGenMux/PulseBus_i[6]}] set_property MARK_DEBUG false [get_nets startMeasEvent] set_property MARK_DEBUG false [get_nets trigForIntTrig2] set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenMux/IntTrig2_i}] set_property MARK_DEBUG false [get_nets MeasTrigMux/MuxOut_o] set_property MARK_DEBUG false [get_nets MeasStartEventGenInst/measTrigPos] set_property MARK_DEBUG false [get_nets InternalDsp/StartMeasDsp_i] set_property MARK_DEBUG false [get_nets IntTrig2GenInst/StartMeasDsp_i]