fpgaS5443.xpr 26 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <!-- Product Version: Vivado v2020.2 (64-bit) -->
  3. <!-- -->
  4. <!-- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -->
  5. <Project Version="7" Minor="54" Path="C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/fpgaS5443/fpgaS5443.xpr">
  6. <DefaultLaunch Dir="$PRUNDIR"/>
  7. <Configuration>
  8. <Option Name="Id" Val="9533e8014c5b40d6aa50b38106396011"/>
  9. <Option Name="Part" Val="xc7s25csga225-2"/>
  10. <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
  11. <Option Name="CompiledLibDirXSim" Val=""/>
  12. <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
  13. <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
  14. <Option Name="CompiledLibDirIES" Val="$PCACHEDIR/compile_simlib/ies"/>
  15. <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
  16. <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
  17. <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
  18. <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
  19. <Option Name="SimulatorInstallDirModelSim" Val=""/>
  20. <Option Name="SimulatorInstallDirQuesta" Val=""/>
  21. <Option Name="SimulatorInstallDirIES" Val=""/>
  22. <Option Name="SimulatorInstallDirXcelium" Val=""/>
  23. <Option Name="SimulatorInstallDirVCS" Val=""/>
  24. <Option Name="SimulatorInstallDirRiviera" Val=""/>
  25. <Option Name="SimulatorInstallDirActiveHdl" Val=""/>
  26. <Option Name="SimulatorGccInstallDirModelSim" Val=""/>
  27. <Option Name="SimulatorGccInstallDirQuesta" Val=""/>
  28. <Option Name="SimulatorGccInstallDirIES" Val=""/>
  29. <Option Name="SimulatorGccInstallDirXcelium" Val=""/>
  30. <Option Name="SimulatorGccInstallDirVCS" Val=""/>
  31. <Option Name="SimulatorGccInstallDirRiviera" Val=""/>
  32. <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
  33. <Option Name="BoardPart" Val=""/>
  34. <Option Name="ActiveSimSet" Val="sim_1"/>
  35. <Option Name="DefaultLib" Val="xil_defaultlib"/>
  36. <Option Name="ProjectType" Val="Default"/>
  37. <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
  38. <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
  39. <Option Name="IPCachePermission" Val="read"/>
  40. <Option Name="IPCachePermission" Val="write"/>
  41. <Option Name="EnableCoreContainer" Val="FALSE"/>
  42. <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
  43. <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
  44. <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
  45. <Option Name="EnableBDX" Val="FALSE"/>
  46. <Option Name="WTXSimLaunchSim" Val="3"/>
  47. <Option Name="WTModelSimLaunchSim" Val="0"/>
  48. <Option Name="WTQuestaLaunchSim" Val="0"/>
  49. <Option Name="WTIesLaunchSim" Val="0"/>
  50. <Option Name="WTVcsLaunchSim" Val="0"/>
  51. <Option Name="WTRivieraLaunchSim" Val="0"/>
  52. <Option Name="WTActivehdlLaunchSim" Val="0"/>
  53. <Option Name="WTXSimExportSim" Val="1"/>
  54. <Option Name="WTModelSimExportSim" Val="1"/>
  55. <Option Name="WTQuestaExportSim" Val="1"/>
  56. <Option Name="WTIesExportSim" Val="1"/>
  57. <Option Name="WTVcsExportSim" Val="1"/>
  58. <Option Name="WTRivieraExportSim" Val="1"/>
  59. <Option Name="WTActivehdlExportSim" Val="1"/>
  60. <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
  61. <Option Name="XSimRadix" Val="hex"/>
  62. <Option Name="XSimTimeUnit" Val="ns"/>
  63. <Option Name="XSimArrayDisplayLimit" Val="1024"/>
  64. <Option Name="XSimTraceLimit" Val="65536"/>
  65. <Option Name="SimTypes" Val="rtl"/>
  66. <Option Name="SimTypes" Val="bfm"/>
  67. <Option Name="SimTypes" Val="tlm"/>
  68. <Option Name="SimTypes" Val="tlm_dpi"/>
  69. <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
  70. <Option Name="DcpsUptoDate" Val="TRUE"/>
  71. </Configuration>
  72. <FileSets Version="1" Minor="31">
  73. <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
  74. <Filter Type="Srcs"/>
  75. <File Path="$PSRCDIR/sources_1/new/PulseMeas/ActivePortSelector.v">
  76. <FileInfo>
  77. <Attr Name="UsedIn" Val="synthesis"/>
  78. <Attr Name="UsedIn" Val="implementation"/>
  79. <Attr Name="UsedIn" Val="simulation"/>
  80. </FileInfo>
  81. </File>
  82. <File Path="$PSRCDIR/sources_1/new/InternalDsp/AdcCalibration.v">
  83. <FileInfo>
  84. <Attr Name="UsedIn" Val="synthesis"/>
  85. <Attr Name="UsedIn" Val="implementation"/>
  86. <Attr Name="UsedIn" Val="simulation"/>
  87. </FileInfo>
  88. </File>
  89. <File Path="$PSRCDIR/sources_1/new/AdcDataRx/AdcDataInterface.v">
  90. <FileInfo>
  91. <Attr Name="UsedIn" Val="synthesis"/>
  92. <Attr Name="UsedIn" Val="implementation"/>
  93. <Attr Name="UsedIn" Val="simulation"/>
  94. </FileInfo>
  95. </File>
  96. <File Path="$PSRCDIR/sources_1/new/AdcDataRx/AdcSync.v">
  97. <FileInfo>
  98. <Attr Name="UsedIn" Val="synthesis"/>
  99. <Attr Name="UsedIn" Val="implementation"/>
  100. <Attr Name="UsedIn" Val="simulation"/>
  101. </FileInfo>
  102. </File>
  103. <File Path="$PSRCDIR/sources_1/new/Clk200Gen.v">
  104. <FileInfo>
  105. <Attr Name="UsedIn" Val="synthesis"/>
  106. <Attr Name="UsedIn" Val="implementation"/>
  107. <Attr Name="UsedIn" Val="simulation"/>
  108. </FileInfo>
  109. </File>
  110. <File Path="$PSRCDIR/sources_1/new/InternalDsp/ComplPrng.v">
  111. <FileInfo>
  112. <Attr Name="UsedIn" Val="synthesis"/>
  113. <Attr Name="UsedIn" Val="implementation"/>
  114. <Attr Name="UsedIn" Val="simulation"/>
  115. </FileInfo>
  116. </File>
  117. <File Path="$PSRCDIR/sources_1/new/InternalDsp/CordicNco.v">
  118. <FileInfo>
  119. <Attr Name="UsedIn" Val="synthesis"/>
  120. <Attr Name="UsedIn" Val="implementation"/>
  121. <Attr Name="UsedIn" Val="simulation"/>
  122. </FileInfo>
  123. </File>
  124. <File Path="$PSRCDIR/sources_1/new/InternalDsp/CordicRotation.v">
  125. <FileInfo>
  126. <Attr Name="UsedIn" Val="synthesis"/>
  127. <Attr Name="UsedIn" Val="implementation"/>
  128. <Attr Name="UsedIn" Val="simulation"/>
  129. </FileInfo>
  130. </File>
  131. <File Path="$PSRCDIR/sources_1/new/FftDataFiltering/DecimFilterWrapper.v">
  132. <FileInfo>
  133. <Attr Name="UsedIn" Val="synthesis"/>
  134. <Attr Name="UsedIn" Val="implementation"/>
  135. <Attr Name="UsedIn" Val="simulation"/>
  136. </FileInfo>
  137. </File>
  138. <File Path="$PSRCDIR/sources_1/new/DitherGen/DitherGenv2.v">
  139. <FileInfo>
  140. <Attr Name="UsedIn" Val="synthesis"/>
  141. <Attr Name="UsedIn" Val="implementation"/>
  142. <Attr Name="UsedIn" Val="simulation"/>
  143. </FileInfo>
  144. </File>
  145. <File Path="$PSRCDIR/sources_1/new/ExtDspInterface/DspInterface.v">
  146. <FileInfo>
  147. <Attr Name="UsedIn" Val="synthesis"/>
  148. <Attr Name="UsedIn" Val="implementation"/>
  149. <Attr Name="UsedIn" Val="simulation"/>
  150. </FileInfo>
  151. </File>
  152. <File Path="$PSRCDIR/sources_1/new/InternalDsp/DspPipeline.v">
  153. <FileInfo>
  154. <Attr Name="UsedIn" Val="synthesis"/>
  155. <Attr Name="UsedIn" Val="implementation"/>
  156. <Attr Name="UsedIn" Val="simulation"/>
  157. </FileInfo>
  158. </File>
  159. <File Path="$PSRCDIR/sources_1/new/ExtDspInterface/DspPpiOut.v">
  160. <FileInfo>
  161. <Attr Name="UsedIn" Val="synthesis"/>
  162. <Attr Name="UsedIn" Val="implementation"/>
  163. <Attr Name="UsedIn" Val="simulation"/>
  164. </FileInfo>
  165. </File>
  166. <File Path="$PSRCDIR/sources_1/new/MeasDataFifo/FifoController.v">
  167. <FileInfo>
  168. <Attr Name="UsedIn" Val="synthesis"/>
  169. <Attr Name="UsedIn" Val="implementation"/>
  170. <Attr Name="UsedIn" Val="simulation"/>
  171. </FileInfo>
  172. </File>
  173. <File Path="$PSRCDIR/sources_1/new/Math/FpCustomMultiplier.v">
  174. <FileInfo>
  175. <Attr Name="UsedIn" Val="synthesis"/>
  176. <Attr Name="UsedIn" Val="implementation"/>
  177. <Attr Name="UsedIn" Val="simulation"/>
  178. </FileInfo>
  179. </File>
  180. <File Path="$PSRCDIR/sources_1/new/GainOverloadControl/GainControl.v">
  181. <FileInfo>
  182. <Attr Name="UsedIn" Val="synthesis"/>
  183. <Attr Name="UsedIn" Val="implementation"/>
  184. <Attr Name="UsedIn" Val="simulation"/>
  185. </FileInfo>
  186. </File>
  187. <File Path="$PSRCDIR/sources_1/new/GainOverloadControl/GainControlWrapper.v">
  188. <FileInfo>
  189. <Attr Name="UsedIn" Val="synthesis"/>
  190. <Attr Name="UsedIn" Val="implementation"/>
  191. <Attr Name="UsedIn" Val="simulation"/>
  192. </FileInfo>
  193. </File>
  194. <File Path="$PSRCDIR/sources_1/new/AdcInit/InitRst.v">
  195. <FileInfo>
  196. <Attr Name="UsedIn" Val="synthesis"/>
  197. <Attr Name="UsedIn" Val="implementation"/>
  198. <Attr Name="UsedIn" Val="simulation"/>
  199. </FileInfo>
  200. </File>
  201. <File Path="$PSRCDIR/sources_1/new/InternalDsp/InternalDsp.v">
  202. <FileInfo>
  203. <Attr Name="UsedIn" Val="synthesis"/>
  204. <Attr Name="UsedIn" Val="implementation"/>
  205. <Attr Name="UsedIn" Val="simulation"/>
  206. </FileInfo>
  207. </File>
  208. <File Path="$PSRCDIR/sources_1/new/InternalDsp/MeasCtrlModule.v">
  209. <FileInfo>
  210. <Attr Name="UsedIn" Val="synthesis"/>
  211. <Attr Name="UsedIn" Val="implementation"/>
  212. <Attr Name="UsedIn" Val="simulation"/>
  213. </FileInfo>
  214. </File>
  215. <File Path="$PSRCDIR/sources_1/new/MeasDataFifo/MeasDataFifoWrapper.v">
  216. <FileInfo>
  217. <Attr Name="UsedIn" Val="synthesis"/>
  218. <Attr Name="UsedIn" Val="implementation"/>
  219. <Attr Name="UsedIn" Val="simulation"/>
  220. </FileInfo>
  221. </File>
  222. <File Path="$PSRCDIR/sources_1/new/PulseMeas/MeasStartEventGen.v">
  223. <FileInfo>
  224. <Attr Name="UsedIn" Val="synthesis"/>
  225. <Attr Name="UsedIn" Val="implementation"/>
  226. <Attr Name="UsedIn" Val="simulation"/>
  227. </FileInfo>
  228. </File>
  229. <File Path="$PSRCDIR/sources_1/new/Math/MultModule.v">
  230. <FileInfo>
  231. <Attr Name="UsedIn" Val="synthesis"/>
  232. <Attr Name="UsedIn" Val="implementation"/>
  233. <Attr Name="UsedIn" Val="simulation"/>
  234. </FileInfo>
  235. </File>
  236. <File Path="$PSRCDIR/sources_1/new/PulseMeas/Mux.v">
  237. <FileInfo>
  238. <Attr Name="UsedIn" Val="synthesis"/>
  239. <Attr Name="UsedIn" Val="implementation"/>
  240. <Attr Name="UsedIn" Val="simulation"/>
  241. </FileInfo>
  242. </File>
  243. <File Path="$PSRCDIR/sources_1/new/Math/MyIntToFp.v">
  244. <FileInfo>
  245. <Attr Name="UsedIn" Val="synthesis"/>
  246. <Attr Name="UsedIn" Val="implementation"/>
  247. <Attr Name="UsedIn" Val="simulation"/>
  248. </FileInfo>
  249. </File>
  250. <File Path="$PSRCDIR/sources_1/new/InternalDsp/NcoRstGen.v">
  251. <FileInfo>
  252. <Attr Name="UsedIn" Val="synthesis"/>
  253. <Attr Name="UsedIn" Val="implementation"/>
  254. <Attr Name="UsedIn" Val="simulation"/>
  255. </FileInfo>
  256. </File>
  257. <File Path="$PSRCDIR/sources_1/new/GainOverloadControl/OverloadDetect.v">
  258. <FileInfo>
  259. <Attr Name="UsedIn" Val="synthesis"/>
  260. <Attr Name="UsedIn" Val="implementation"/>
  261. <Attr Name="UsedIn" Val="simulation"/>
  262. </FileInfo>
  263. </File>
  264. <File Path="$PSRCDIR/sources_1/new/PulseMeas/PGenRstGenerator.v">
  265. <FileInfo>
  266. <Attr Name="UsedIn" Val="synthesis"/>
  267. <Attr Name="UsedIn" Val="implementation"/>
  268. <Attr Name="UsedIn" Val="simulation"/>
  269. </FileInfo>
  270. </File>
  271. <File Path="$PSRCDIR/sources_1/new/PulseMeas/PulseGen.v">
  272. <FileInfo>
  273. <Attr Name="UsedIn" Val="synthesis"/>
  274. <Attr Name="UsedIn" Val="implementation"/>
  275. <Attr Name="UsedIn" Val="simulation"/>
  276. </FileInfo>
  277. </File>
  278. <File Path="$PSRCDIR/sources_1/new/RegMap/RegMap.v">
  279. <FileInfo>
  280. <Attr Name="UsedIn" Val="synthesis"/>
  281. <Attr Name="UsedIn" Val="implementation"/>
  282. <Attr Name="UsedIn" Val="simulation"/>
  283. </FileInfo>
  284. </File>
  285. <File Path="$PSRCDIR/sources_1/new/PulseMeas/SampleStrobeGenRstDemux.v">
  286. <FileInfo>
  287. <Attr Name="UsedIn" Val="synthesis"/>
  288. <Attr Name="UsedIn" Val="implementation"/>
  289. <Attr Name="UsedIn" Val="simulation"/>
  290. </FileInfo>
  291. </File>
  292. <File Path="$PSRCDIR/sources_1/new/Math/SimpleMult.v">
  293. <FileInfo>
  294. <Attr Name="UsedIn" Val="synthesis"/>
  295. <Attr Name="UsedIn" Val="implementation"/>
  296. <Attr Name="UsedIn" Val="simulation"/>
  297. </FileInfo>
  298. </File>
  299. <File Path="$PSRCDIR/sources_1/new/ExtDspInterface/SlaveSpi.v">
  300. <FileInfo>
  301. <Attr Name="UsedIn" Val="synthesis"/>
  302. <Attr Name="UsedIn" Val="implementation"/>
  303. <Attr Name="UsedIn" Val="simulation"/>
  304. </FileInfo>
  305. </File>
  306. <File Path="$PSRCDIR/sources_1/new/StartAfterGainSel.v">
  307. <FileInfo>
  308. <Attr Name="UsedIn" Val="synthesis"/>
  309. <Attr Name="UsedIn" Val="implementation"/>
  310. <Attr Name="UsedIn" Val="simulation"/>
  311. </FileInfo>
  312. </File>
  313. <File Path="$PSRCDIR/sources_1/new/Math/SumAcc.v">
  314. <FileInfo>
  315. <Attr Name="UsedIn" Val="synthesis"/>
  316. <Attr Name="UsedIn" Val="implementation"/>
  317. <Attr Name="UsedIn" Val="simulation"/>
  318. </FileInfo>
  319. </File>
  320. <File Path="$PSRCDIR/sources_1/new/PulseMeas/TrigInt2Mux.v">
  321. <FileInfo>
  322. <Attr Name="UsedIn" Val="synthesis"/>
  323. <Attr Name="UsedIn" Val="implementation"/>
  324. <Attr Name="UsedIn" Val="simulation"/>
  325. </FileInfo>
  326. </File>
  327. <File Path="$PSRCDIR/sources_1/new/InternalDsp/WinParameters.v">
  328. <FileInfo>
  329. <Attr Name="UsedIn" Val="synthesis"/>
  330. <Attr Name="UsedIn" Val="implementation"/>
  331. <Attr Name="UsedIn" Val="simulation"/>
  332. </FileInfo>
  333. </File>
  334. <File Path="$PSRCDIR/sources_1/new/InternalDsp/Win_calc.v">
  335. <FileInfo>
  336. <Attr Name="UsedIn" Val="synthesis"/>
  337. <Attr Name="UsedIn" Val="implementation"/>
  338. <Attr Name="UsedIn" Val="simulation"/>
  339. </FileInfo>
  340. </File>
  341. <File Path="$PSRCDIR/sources_1/new/FftDataFiltering/cicFilter.v">
  342. <FileInfo>
  343. <Attr Name="UsedIn" Val="synthesis"/>
  344. <Attr Name="UsedIn" Val="implementation"/>
  345. <Attr Name="UsedIn" Val="simulation"/>
  346. </FileInfo>
  347. </File>
  348. <File Path="$PSRCDIR/sources_1/new/FftDataFiltering/combFilterBlock.v">
  349. <FileInfo>
  350. <Attr Name="UsedIn" Val="synthesis"/>
  351. <Attr Name="UsedIn" Val="implementation"/>
  352. <Attr Name="UsedIn" Val="simulation"/>
  353. </FileInfo>
  354. </File>
  355. <File Path="$PSRCDIR/sources_1/new/FftDataFiltering/combFilterWrapper.v">
  356. <FileInfo>
  357. <Attr Name="UsedIn" Val="synthesis"/>
  358. <Attr Name="UsedIn" Val="implementation"/>
  359. <Attr Name="UsedIn" Val="simulation"/>
  360. </FileInfo>
  361. </File>
  362. <File Path="$PSRCDIR/sources_1/new/FftDataFiltering/decimBlock.v">
  363. <FileInfo>
  364. <Attr Name="UsedIn" Val="synthesis"/>
  365. <Attr Name="UsedIn" Val="implementation"/>
  366. <Attr Name="UsedIn" Val="simulation"/>
  367. </FileInfo>
  368. </File>
  369. <File Path="$PSRCDIR/sources_1/new/AdcDataRx/delay_controller_wrap.v">
  370. <FileInfo>
  371. <Attr Name="UsedIn" Val="synthesis"/>
  372. <Attr Name="UsedIn" Val="implementation"/>
  373. <Attr Name="UsedIn" Val="simulation"/>
  374. </FileInfo>
  375. </File>
  376. <File Path="$PSRCDIR/sources_1/new/FftDataFiltering/intFilterBlock.v">
  377. <FileInfo>
  378. <Attr Name="UsedIn" Val="synthesis"/>
  379. <Attr Name="UsedIn" Val="implementation"/>
  380. <Attr Name="UsedIn" Val="simulation"/>
  381. </FileInfo>
  382. </File>
  383. <File Path="$PSRCDIR/sources_1/new/FftDataFiltering/intFilterWrapper.v">
  384. <FileInfo>
  385. <Attr Name="UsedIn" Val="synthesis"/>
  386. <Attr Name="UsedIn" Val="implementation"/>
  387. <Attr Name="UsedIn" Val="simulation"/>
  388. </FileInfo>
  389. </File>
  390. <File Path="$PSRCDIR/sources_1/new/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v">
  391. <FileInfo>
  392. <Attr Name="UsedIn" Val="synthesis"/>
  393. <Attr Name="UsedIn" Val="implementation"/>
  394. <Attr Name="UsedIn" Val="simulation"/>
  395. </FileInfo>
  396. </File>
  397. <File Path="$PSRCDIR/sources_1/new/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v">
  398. <FileInfo>
  399. <Attr Name="UsedIn" Val="synthesis"/>
  400. <Attr Name="UsedIn" Val="implementation"/>
  401. <Attr Name="UsedIn" Val="simulation"/>
  402. </FileInfo>
  403. </File>
  404. <File Path="$PSRCDIR/sources_1/new/AdcDataRx/serdes_1_to_7_slave_idelay_sdr.v">
  405. <FileInfo>
  406. <Attr Name="UsedIn" Val="synthesis"/>
  407. <Attr Name="UsedIn" Val="implementation"/>
  408. <Attr Name="UsedIn" Val="simulation"/>
  409. </FileInfo>
  410. </File>
  411. <File Path="$PSRCDIR/sources_1/new/AdcDataRx/top5x2_7to1_sdr_rx.v">
  412. <FileInfo>
  413. <Attr Name="UsedIn" Val="synthesis"/>
  414. <Attr Name="UsedIn" Val="implementation"/>
  415. <Attr Name="UsedIn" Val="simulation"/>
  416. </FileInfo>
  417. </File>
  418. <File Path="$PSRCDIR/sources_1/new/S5443Top.v">
  419. <FileInfo>
  420. <Attr Name="UsedIn" Val="synthesis"/>
  421. <Attr Name="UsedIn" Val="implementation"/>
  422. <Attr Name="UsedIn" Val="simulation"/>
  423. </FileInfo>
  424. </File>
  425. <File Path="$PSRCDIR/sources_1/new/PulseMeas/PulseGenV2.v">
  426. <FileInfo>
  427. <Attr Name="AutoDisabled" Val="1"/>
  428. <Attr Name="UsedIn" Val="synthesis"/>
  429. <Attr Name="UsedIn" Val="implementation"/>
  430. <Attr Name="UsedIn" Val="simulation"/>
  431. </FileInfo>
  432. </File>
  433. <File Path="$PSRCDIR/sources_1/new/MeasDataFifo/OscDataFormer.v">
  434. <FileInfo>
  435. <Attr Name="AutoDisabled" Val="1"/>
  436. <Attr Name="UsedIn" Val="synthesis"/>
  437. <Attr Name="UsedIn" Val="implementation"/>
  438. <Attr Name="UsedIn" Val="simulation"/>
  439. </FileInfo>
  440. </File>
  441. <Config>
  442. <Option Name="DesignMode" Val="RTL"/>
  443. <Option Name="TopModule" Val="S5443Top"/>
  444. </Config>
  445. </FileSet>
  446. <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
  447. <Filter Type="Constrs"/>
  448. <File Path="$PSRCDIR/constrs_1/new/S5443Top.xdc">
  449. <FileInfo>
  450. <Attr Name="UsedIn" Val="synthesis"/>
  451. <Attr Name="UsedIn" Val="implementation"/>
  452. </FileInfo>
  453. </File>
  454. <Config>
  455. <Option Name="TargetConstrsFile" Val="$PSRCDIR/constrs_1/new/S5443Top.xdc"/>
  456. <Option Name="ConstrsType" Val="XDC"/>
  457. </Config>
  458. </FileSet>
  459. <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
  460. <Filter Type="Srcs"/>
  461. <File Path="$PSRCDIR/sources_1/new/S5443TopPulseProfileTb.v">
  462. <FileInfo>
  463. <Attr Name="UsedIn" Val="synthesis"/>
  464. <Attr Name="UsedIn" Val="implementation"/>
  465. <Attr Name="UsedIn" Val="simulation"/>
  466. </FileInfo>
  467. </File>
  468. <Config>
  469. <Option Name="DesignMode" Val="RTL"/>
  470. <Option Name="TopModule" Val="S5443TopPulseProfileTb"/>
  471. <Option Name="TopLib" Val="xil_defaultlib"/>
  472. <Option Name="TransportPathDelay" Val="0"/>
  473. <Option Name="TransportIntDelay" Val="0"/>
  474. <Option Name="SelectedSimModel" Val="rtl"/>
  475. <Option Name="PamDesignTestbench" Val=""/>
  476. <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
  477. <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
  478. <Option Name="PamPseudoTop" Val="pseudo_tb"/>
  479. <Option Name="SrcSet" Val="sources_1"/>
  480. <Option Name="NLNetlistMode" Val="funcsim"/>
  481. </Config>
  482. </FileSet>
  483. <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
  484. <Filter Type="Utils"/>
  485. <Config>
  486. <Option Name="TopAutoSet" Val="TRUE"/>
  487. </Config>
  488. </FileSet>
  489. <FileSet Name="MeasDataFifo" Type="BlockSrcs" RelSrcDir="$PSRCDIR/MeasDataFifo" RelGenDir="$PGENDIR/MeasDataFifo">
  490. <File Path="$PSRCDIR/sources_1/ip/MeasDataFifo/MeasDataFifo.xci">
  491. <FileInfo>
  492. <Attr Name="UsedIn" Val="synthesis"/>
  493. <Attr Name="UsedIn" Val="implementation"/>
  494. <Attr Name="UsedIn" Val="simulation"/>
  495. </FileInfo>
  496. </File>
  497. <Config>
  498. <Option Name="TopModule" Val="MeasDataFifo"/>
  499. <Option Name="UseBlackboxStub" Val="1"/>
  500. </Config>
  501. </FileSet>
  502. </FileSets>
  503. <Simulators>
  504. <Simulator Name="XSim">
  505. <Option Name="Description" Val="Vivado Simulator"/>
  506. <Option Name="CompiledLib" Val="0"/>
  507. </Simulator>
  508. <Simulator Name="ModelSim">
  509. <Option Name="Description" Val="ModelSim Simulator"/>
  510. </Simulator>
  511. <Simulator Name="Questa">
  512. <Option Name="Description" Val="Questa Advanced Simulator"/>
  513. </Simulator>
  514. <Simulator Name="Riviera">
  515. <Option Name="Description" Val="Riviera-PRO Simulator"/>
  516. </Simulator>
  517. <Simulator Name="ActiveHDL">
  518. <Option Name="Description" Val="Active-HDL Simulator"/>
  519. </Simulator>
  520. </Simulators>
  521. <Runs Version="1" Minor="15">
  522. <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7s25csga225-2" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1">
  523. <Strategy Version="1" Minor="2">
  524. <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2020"/>
  525. <Step Id="synth_design">
  526. <Option Id="FlattenHierarchy">1</Option>
  527. </Step>
  528. </Strategy>
  529. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  530. <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2020"/>
  531. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  532. <RQSFiles/>
  533. </Run>
  534. <Run Id="MeasDataFifo_synth_1" Type="Ft3:Synth" SrcSet="MeasDataFifo" Part="xc7s25csga225-2" ConstrsSet="MeasDataFifo" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/MeasDataFifo_synth_1" IncludeInArchive="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/MeasDataFifo_synth_1">
  535. <Strategy Version="1" Minor="2">
  536. <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2020"/>
  537. <Step Id="synth_design"/>
  538. </Strategy>
  539. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  540. <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2020"/>
  541. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  542. <RQSFiles/>
  543. </Run>
  544. <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7s25csga225-2" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1">
  545. <Strategy Version="1" Minor="2">
  546. <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2020"/>
  547. <Step Id="init_design"/>
  548. <Step Id="opt_design"/>
  549. <Step Id="power_opt_design"/>
  550. <Step Id="place_design"/>
  551. <Step Id="post_place_power_opt_design"/>
  552. <Step Id="phys_opt_design"/>
  553. <Step Id="route_design"/>
  554. <Step Id="post_route_phys_opt_design"/>
  555. <Step Id="write_bitstream">
  556. <Option Id="BinFile">1</Option>
  557. </Step>
  558. </Strategy>
  559. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  560. <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2020"/>
  561. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  562. <RQSFiles/>
  563. </Run>
  564. <Run Id="MeasDataFifo_impl_1" Type="Ft2:EntireDesign" Part="xc7s25csga225-2" ConstrsSet="MeasDataFifo" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="MeasDataFifo_synth_1" IncludeInArchive="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/MeasDataFifo_impl_1">
  565. <Strategy Version="1" Minor="2">
  566. <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2020"/>
  567. <Step Id="init_design"/>
  568. <Step Id="opt_design"/>
  569. <Step Id="power_opt_design"/>
  570. <Step Id="place_design"/>
  571. <Step Id="post_place_power_opt_design"/>
  572. <Step Id="phys_opt_design"/>
  573. <Step Id="route_design"/>
  574. <Step Id="post_route_phys_opt_design"/>
  575. <Step Id="write_bitstream"/>
  576. </Strategy>
  577. <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2020"/>
  578. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  579. <RQSFiles/>
  580. </Run>
  581. </Runs>
  582. <Board/>
  583. <DashboardSummary Version="1" Minor="0">
  584. <Dashboards>
  585. <Dashboard Name="default_dashboard">
  586. <Gadgets>
  587. <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
  588. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
  589. </Gadget>
  590. <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
  591. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
  592. </Gadget>
  593. <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
  594. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
  595. </Gadget>
  596. <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
  597. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
  598. </Gadget>
  599. <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
  600. <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
  601. <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
  602. <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
  603. </Gadget>
  604. <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
  605. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
  606. </Gadget>
  607. </Gadgets>
  608. </Dashboard>
  609. <CurrentDashboard>default_dashboard</CurrentDashboard>
  610. </Dashboards>
  611. </DashboardSummary>
  612. </Project>