S5243Top.v 38 KB

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455565758596061626364656667686970717273747576777879808182838485868788899091929394959697989910010110210310410510610710810911011111211311411511611711811912012112212312412512612712812913013113213313413513613713813914014114214314414514614714814915015115215315415515615715815916016116216316416516616716816917017117217317417517617717817918018118218318418518618718818919019119219319419519619719819920020120220320420520620720820921021121221321421521621721821922022122222322422522622722822923023123223323423523623723823924024124224324424524624724824925025125225325425525625725825926026126226326426526626726826927027127227327427527627727827928028128228328428528628728828929029129229329429529629729829930030130230330430530630730830931031131231331431531631731831932032132232332432532632732832933033133233333433533633733833934034134234334434534634734834935035135235335435535635735835936036136236336436536636736836937037137237337437537637737837938038138238338438538638738838939039139239339439539639739839940040140240340440540640740840941041141241341441541641741841942042142242342442542642742842943043143243343443543643743843944044144244344444544644744844945045145245345445545645745845946046146246346446546646746846947047147247347447547647747847948048148248348448548648748848949049149249349449549649749849950050150250350450550650750850951051151251351451551651751851952052152252352452552652752852953053153253353453553653753853954054154254354454554654754854955055155255355455555655755855956056156256356456556656756856957057157257357457557657757857958058158258358458558658758858959059159259359459559659759859960060160260360460560660760860961061161261361461561661761861962062162262362462562662762862963063163263363463563663763863964064164264364464564664764864965065165265365465565665765865966066166266366466566666766866967067167267367467567667767867968068168268368468568668768868969069169269369469569669769869970070170270370470570670770870971071171271371471571671771871972072172272372472572672772872973073173273373473573673773873974074174274374474574674774874975075175275375475575675775875976076176276376476576676776876977077177277377477577677777877978078178278378478578678778878979079179279379479579679779879980080180280380480580680780880981081181281381481581681781881982082182282382482582682782882983083183283383483583683783883984084184284384484584684784884985085185285385485585685785885986086186286386486586686786886987087187287387487587687787887988088188288388488588688788888989089189289389489589689789889990090190290390490590690790890991091191291391491591691791891992092192292392492592692792892993093193293393493593693793893994094194294394494594694794894995095195295395495595695795895996096196296396496596696796896997097197297397497597697797897998098198298398498598698798898999099199299399499599699799899910001001100210031004100510061007100810091010101110121013101410151016101710181019102010211022102310241025102610271028102910301031103210331034103510361037103810391040104110421043104410451046104710481049105010511052105310541055105610571058105910601061106210631064106510661067106810691070107110721073107410751076107710781079108010811082108310841085108610871088108910901091109210931094109510961097109810991100110111021103110411051106110711081109111011111112111311141115111611171118111911201121112211231124112511261127112811291130113111321133113411351136113711381139114011411142114311441145114611471148114911501151115211531154115511561157115811591160116111621163116411651166116711681169117011711172117311741175117611771178117911801181118211831184118511861187118811891190119111921193119411951196119711981199120012011202120312041205120612071208120912101211121212131214121512161217121812191220122112221223122412251226122712281229123012311232123312341235123612371238123912401241124212431244124512461247124812491250125112521253125412551256125712581259126012611262126312641265126612671268126912701271127212731274127512761277127812791280128112821283128412851286128712881289129012911292129312941295129612971298129913001301130213031304130513061307130813091310131113121313131413151316131713181319132013211322132313241325132613271328132913301331133213331334133513361337133813391340134113421343134413451346134713481349135013511352135313541355135613571358135913601361136213631364136513661367136813691370137113721373137413751376137713781379138013811382138313841385138613871388138913901391139213931394139513961397139813991400140114021403140414051406140714081409141014111412141314141415141614171418141914201421142214231424142514261427142814291430143114321433143414351436
  1. `timescale 1ns / 1ps
  2. (* keep_hierarchy = "yes" *)
  3. //////////////////////////////////////////////////////////////////////////////////
  4. // company:
  5. // engineer:
  6. //
  7. // create date: 12:23:20 05/20/2019
  8. // design name:
  9. // module name: S5443Top
  10. // project name:
  11. // target devices:
  12. // tool versions:
  13. // description:
  14. //
  15. // dependencies:
  16. //
  17. // revision:
  18. // revision 0.01 - file created
  19. // additional comments:
  20. //
  21. //================================================================================
  22. //
  23. //Spi clock for ADC initialization is 15Mhz.
  24. //Spi clock for RegMap work is 41Mhz.
  25. //Нужно сделать процедуру сброса для импульсных измерений, такую же как для обычных, тоесть по детектированию спадающего фронта StartMeas.
  26. //Забрать из команды настройки измерения, биты управления ключем и замкнуть на выходы.
  27. //////////////////////////////////////////////////////////////////////////////////
  28. // xc7s25-2csga225
  29. // new feature added
  30. module S5243Top
  31. #(
  32. parameter LpDataWidth = 16,
  33. parameter CtrlWidth = 4,
  34. parameter AdcDataWidth = 14,
  35. parameter ThresholdWidth = 24,
  36. parameter ResultWidth = 32,
  37. parameter ChNum = 4,
  38. parameter PGenNum = 7,
  39. parameter TrigPortsNum = 6,
  40. parameter Ratio = 8,
  41. parameter DelayValue = 24000,
  42. parameter LengthWidth = 2000,
  43. parameter DataWidth = 24,
  44. parameter DataNum = 26,
  45. parameter CmdRegWidth = 32,
  46. parameter HeaderWidth = 7,
  47. parameter CmdDataRegWith = 24,
  48. parameter DataCntWidth = 5,
  49. parameter Divparam = 4,
  50. parameter MeasPeriod = 44,
  51. parameter PhIncWidth = 32,
  52. parameter NcoWidth = 18
  53. )
  54. (
  55. //common ports
  56. input ClkP_i,
  57. input ClkN_i,
  58. output Led_o,
  59. //fpga-adc1 data interface
  60. input Adc1FclkP_i,
  61. input Adc1FclkN_i,
  62. input Adc1DataDa0P_i,
  63. input Adc1DataDa0N_i,
  64. input Adc1DataDa1P_i,
  65. input Adc1DataDa1N_i,
  66. input Adc1DataDb0P_i,
  67. input Adc1DataDb0N_i,
  68. input Adc1DataDb1P_i,
  69. input Adc1DataDb1N_i,
  70. //fpga-adc2 data interface
  71. input Adc2FclkP_i,
  72. input Adc2FclkN_i,
  73. input Adc2DataDa0P_i,
  74. input Adc2DataDa0N_i,
  75. input Adc2DataDa1P_i,
  76. input Adc2DataDa1N_i,
  77. input Adc2DataDb0P_i,
  78. input Adc2DataDb0N_i,
  79. input Adc2DataDb1P_i,
  80. input Adc2DataDb1N_i,
  81. //fpga-adc's initialization interface
  82. output Adc1InitMosi_o,
  83. output Adc2InitMosi_o,
  84. output Adc1InitClk_o,
  85. output Adc2InitClk_o,
  86. output Adc1InitCs_o,
  87. output Adc2InitCs_o,
  88. output Adc1InitRst_o,
  89. output Adc2InitRst_o,
  90. //ditherCtrl
  91. output DitherCtrlCh1_o,
  92. output DitherCtrlCh2_o,
  93. //fpga-dsp cmd interface
  94. input Mosi_i,
  95. input Sck_i,
  96. input Ss_i,
  97. input Miso_i,
  98. output Miso_o,
  99. //fpga-dsp data interface
  100. output LpOutClk_o,
  101. output LpOutFs_o,
  102. output [LpDataWidth-1:0] LpOutData_o,
  103. //fpga-dsp signals
  104. input StartMeas_i, //"high"- start meas, "low"-stop meas
  105. output EndMeas_o,
  106. output TimersClk_o,
  107. //trigger's
  108. inout [TrigPortsNum-1:0] Trig6to1_io, //Trigger0 from/to external device
  109. output [TrigPortsNum-1:0] Trig6to1Dir_o, //Trigger0 direction
  110. input DspTrigOut_i, //Trig from DSP
  111. output DspTrigIn_o, //Trig To DSP
  112. //overload lines
  113. output Overload_o,
  114. //modulation & active port selection
  115. output [1:0] PortSel_o, //управление модулятором через ключ
  116. //mod out line
  117. output Mod_o,
  118. //gain lines
  119. input DspReadyForRx_i,
  120. output [ChNum-1:0] AmpEn_o, // 0-adc1ChA 1-adc1ChB 2-adc2ChA 3-adc2ChB
  121. ///test port for testbench
  122. input [AdcDataWidth-1:0] AdcData_i
  123. );
  124. //================================================================================
  125. // reg/wire
  126. //================================================================================
  127. //captured data
  128. wire [AdcDataWidth-1:0] adc1ChT1Data;
  129. wire [AdcDataWidth-1:0] adc1ChR1Data;
  130. wire [AdcDataWidth-1:0] adc2ChR2Data;
  131. wire [AdcDataWidth-1:0] adc2ChT2Data;
  132. reg startMeasSync;
  133. wire startMeasEvent;
  134. wire intTrig1;
  135. reg startMeasEventReg;
  136. wire gatingPulse;
  137. wire sampleStrobe;
  138. wire [ChNum-1:0] measStartBus;
  139. // wire measStart = &measStartBus;
  140. reg measStart;
  141. //spi signals for adc init
  142. wire adcInitRst;
  143. wire adcInitMosi;
  144. wire adcInitSck;
  145. wire adc0InitCs;
  146. wire adc1InitCs;
  147. wire [ResultWidth-1:0] adc1ImT1;
  148. wire [ResultWidth-1:0] adc1ReT1;
  149. wire [ResultWidth-1:0] adc1ImR1;
  150. wire [ResultWidth-1:0] adc1ReR1;
  151. wire [ResultWidth-1:0] adc2ImT2;
  152. wire [ResultWidth-1:0] adc2ReT2;
  153. wire [ResultWidth-1:0] adc2ImR2;
  154. wire [ResultWidth-1:0] adc2ReR2;
  155. wire measDataRdy;
  156. wire timersClk;
  157. wire [ThresholdWidth-1:0] lowThreshold;
  158. wire [ThresholdWidth-1:0] highThreshold;
  159. wire initRst;
  160. wire gclk;
  161. reg ledReg;
  162. wire [CmdRegWidth-1:0] cmdDataReg;
  163. wire cmdDataVal;
  164. wire [CmdDataRegWith-1:0] ansReg;
  165. wire [HeaderWidth-1:0] ansAddr;
  166. wire [CmdDataRegWith-1:0] gainCtrl;
  167. wire [CmdDataRegWith-1:0] gainLowThreshT1;
  168. wire [CmdDataRegWith-1:0] gainHighThreshT1;
  169. wire [CmdDataRegWith-1:0] gainLowThreshR1;
  170. wire [CmdDataRegWith-1:0] gainHighThreshR1;
  171. wire [CmdDataRegWith-1:0] gainLowThreshT2;
  172. wire [CmdDataRegWith-1:0] gainHighThreshT2;
  173. wire [CmdDataRegWith-1:0] gainLowThreshR2;
  174. wire [CmdDataRegWith-1:0] gainHighThreshR2;
  175. wire [ChNum-1:0] overCtrlChannels;
  176. wire [CmdDataRegWith-1:0] overCtrl = {{CmdDataRegWith-ChNum{1'b0}},overCtrlChannels};
  177. wire [CmdDataRegWith-1:0] overThresh;
  178. wire [CmdDataRegWith-1:0] ditherCtrl;
  179. wire [CmdDataRegWith-1:0] windowGenPhase1;
  180. wire [CmdDataRegWith-1:0] windowGenPhase2;
  181. wire [CmdDataRegWith-1:0] adcCtrl;
  182. wire [CmdDataRegWith-1:0] adcDirectRd0;
  183. wire [CmdDataRegWith-1:0] adcDirectRd1;
  184. wire [CmdDataRegWith-1:0] ifFtwL;
  185. wire [CmdDataRegWith-1:0] ifFtwH;
  186. wire [CmdDataRegWith-1:0] measCtrl;
  187. wire [CmdDataRegWith-1:0] amplitudeMod;
  188. wire [CmdDataRegWith-1:0] dspTrigIn;
  189. wire [CmdDataRegWith-1:0] dspTrigOut;
  190. wire [CmdDataRegWith-1:0] dspTrigIn1;
  191. wire [CmdDataRegWith-1:0] dspTrigIn2;
  192. wire [CmdDataRegWith-1:0] dspTrigOut1;
  193. wire [CmdDataRegWith-1:0] dspTrigOut2;
  194. wire [CmdDataRegWith-1:0] filterCorrCoefL;
  195. wire [CmdDataRegWith-1:0] filterCorrCoefH;
  196. wire trigToDsp0;
  197. wire trigToDsp1;
  198. wire intTrigToExtDev0;
  199. wire intTrigToExtDev1;
  200. wire delayDoneFlag0;
  201. wire delayDoneFlag1;
  202. wire trigEn0;
  203. wire trigEn1;
  204. wire stopMeas;
  205. reg stopMeasR;
  206. wire [NcoWidth-1:0] ncoCos;
  207. wire [NcoWidth-1:0] ncoSin;
  208. wire [CmdDataRegWith-1:0] gainLowThresholdBus [ChNum-1:0];
  209. wire [CmdDataRegWith-1:0] gainHighThresholdBus [ChNum-1:0];
  210. wire [ChNum-1:0] ampEnNewStates;
  211. wire [ChNum-1:0] sensEn;
  212. wire [ChNum-1:0] gainManual;
  213. wire [ChNum-1:0] gainAutoEn;
  214. wire [AdcDataWidth-1:0] adcDataBus [ChNum-1:0];
  215. wire overCtrlR = |overCtrlChannels[ChNum-1:0];
  216. localparam TESTCNTPARAM = 32'd100000000;
  217. reg [31:0] testCnt;
  218. wire refClk;
  219. wire windClk150;
  220. wire measWind;
  221. wire measTrig;
  222. wire trigForIntTrig2;
  223. wire intTrig2;
  224. wire measTrigVal;
  225. wire refSeqPulse;
  226. wire refSeq;
  227. //Pmeas wires
  228. //PG1 Regs
  229. wire [CmdDataRegWith-1:0] pG1P1Del;
  230. wire [CmdDataRegWith-1:0] pG1P2Del;
  231. wire [CmdDataRegWith-1:0] pG1P3Del;
  232. wire [CmdDataRegWith-1:0] pG1P123Del;
  233. wire [CmdDataRegWith-1:0] pG1P1Width;
  234. wire [CmdDataRegWith-1:0] pG1P2Width;
  235. wire [CmdDataRegWith-1:0] pG1P3Width;
  236. wire [CmdDataRegWith-1:0] pG1P123Width;
  237. //PG2 Regs
  238. wire [CmdDataRegWith-1:0] pG2P1Del;
  239. wire [CmdDataRegWith-1:0] pG2P2Del;
  240. wire [CmdDataRegWith-1:0] pG2P3Del;
  241. wire [CmdDataRegWith-1:0] pG2P123Del;
  242. wire [CmdDataRegWith-1:0] pG2P1Width;
  243. wire [CmdDataRegWith-1:0] pG2P2Width;
  244. wire [CmdDataRegWith-1:0] pG2P3Width;
  245. wire [CmdDataRegWith-1:0] pG2P123Width;
  246. //PG3 Regs
  247. wire [CmdDataRegWith-1:0] pG3P1Del;
  248. wire [CmdDataRegWith-1:0] pG3P2Del;
  249. wire [CmdDataRegWith-1:0] pG3P3Del;
  250. wire [CmdDataRegWith-1:0] pG3P123Del;
  251. wire [CmdDataRegWith-1:0] pG3P1Width;
  252. wire [CmdDataRegWith-1:0] pG3P2Width;
  253. wire [CmdDataRegWith-1:0] pG3P3Width;
  254. wire [CmdDataRegWith-1:0] pG3P123Width;
  255. //PG4 Regs
  256. wire [CmdDataRegWith-1:0] pG4P1Del;
  257. wire [CmdDataRegWith-1:0] pG4P2Del;
  258. wire [CmdDataRegWith-1:0] pG4P3Del;
  259. wire [CmdDataRegWith-1:0] pG4P123Del;
  260. wire [CmdDataRegWith-1:0] pG4P1Width;
  261. wire [CmdDataRegWith-1:0] pG4P2Width;
  262. wire [CmdDataRegWith-1:0] pG4P3Width;
  263. wire [CmdDataRegWith-1:0] pG4P123Width;
  264. //PG5 Regs
  265. wire [CmdDataRegWith-1:0] pG5P1Del;
  266. wire [CmdDataRegWith-1:0] pG5P2Del;
  267. wire [CmdDataRegWith-1:0] pG5P3Del;
  268. wire [CmdDataRegWith-1:0] pG5P123Del;
  269. wire [CmdDataRegWith-1:0] pG5P1Width;
  270. wire [CmdDataRegWith-1:0] pG5P2Width;
  271. wire [CmdDataRegWith-1:0] pG5P3Width;
  272. wire [CmdDataRegWith-1:0] pG5P123Width;
  273. //PG6 Regs
  274. wire [CmdDataRegWith-1:0] pG6P1Del;
  275. wire [CmdDataRegWith-1:0] pG6P2Del;
  276. wire [CmdDataRegWith-1:0] pG6P3Del;
  277. wire [CmdDataRegWith-1:0] pG6P123Del;
  278. wire [CmdDataRegWith-1:0] pG6P1Width;
  279. wire [CmdDataRegWith-1:0] pG6P2Width;
  280. wire [CmdDataRegWith-1:0] pG6P3Width;
  281. wire [CmdDataRegWith-1:0] pG6P123Width;
  282. //PG7 Regs
  283. wire [CmdDataRegWith-1:0] pG7P1Del;
  284. wire [CmdDataRegWith-1:0] pG7P2Del;
  285. wire [CmdDataRegWith-1:0] pG7P3Del;
  286. wire [CmdDataRegWith-1:0] pG7P123Del;
  287. wire [CmdDataRegWith-1:0] pG7P1Width;
  288. wire [CmdDataRegWith-1:0] pG7P2Width;
  289. wire [CmdDataRegWith-1:0] pG7P3Width;
  290. wire [CmdDataRegWith-1:0] pG7P123Width;
  291. wire [CmdDataRegWith-1:0] measNum1;
  292. wire [CmdDataRegWith-1:0] measNum2;
  293. wire [CmdDataRegWith-1:0] pgMode0;
  294. wire [CmdDataRegWith-1:0] pgMode1;
  295. wire [CmdDataRegWith-1:0] muxCtrl1;
  296. wire [CmdDataRegWith-1:0] muxCtrl2;
  297. wire [CmdDataRegWith-1:0] muxCtrl3;
  298. wire [CmdDataRegWith-1:0] muxCtrl4;
  299. wire [CmdRegWidth-29:0] pgModeArray [PGenNum-1:0];
  300. wire pgPulsePolArray [PGenNum-1:0];
  301. wire pgEnEdgeArray [PGenNum-1:0];
  302. wire [PGenNum-1:0] pgRstArray;
  303. wire [6:0] pGenRst;
  304. wire [6:0] pGenMeasRst;
  305. wire pGenRstDone;
  306. wire [CmdRegWidth-28:0] pgMuxCtrlArray [PGenNum-1:0];
  307. wire [CmdRegWidth-28:0] extTrigMuxCtrlArray [TrigPortsNum-1:0];
  308. wire [TrigPortsNum-1:0] extTrigDirCmd = measCtrl[21:16];
  309. wire [CmdRegWidth-1:0] pgP1DelArray [PGenNum-1:0];
  310. wire [CmdRegWidth-1:0] pgP2DelArray [PGenNum-1:0];
  311. wire [CmdRegWidth-1:0] pgP3DelArray [PGenNum-1:0];
  312. wire [CmdRegWidth-1:0] pgP1WidthArray [PGenNum-1:0];
  313. wire [CmdRegWidth-1:0] pgP2WidthArray [PGenNum-1:0];
  314. wire [CmdRegWidth-1:0] pgP3WidthArray [PGenNum-1:0];
  315. wire [PGenNum-1:0] pulseBus;
  316. wire [PGenNum-1:0] pgMuxedOut;
  317. wire [TrigPortsNum-1:0] extPortsMuxedOut;
  318. wire measEnd;
  319. wire slowMod;
  320. wire fastMod;
  321. wire [3:0] modKeyCtrl;
  322. wire tirgToDspEvent;
  323. wire trigFromDspEvent;
  324. wire oscWind;
  325. wire oscDataRdFlag;
  326. wire sampleStrobeGenRst;
  327. //================================================================================
  328. // assignments
  329. //================================================================================
  330. assign pgModeArray [PGenNum-1] = pgMode0[21:18];
  331. assign pgModeArray [PGenNum-2] = pgMode0[17:15];
  332. assign pgModeArray [PGenNum-3] = pgMode0[14:12];
  333. assign pgModeArray [PGenNum-4] = pgMode0[11:9];
  334. assign pgModeArray [PGenNum-5] = pgMode0[8:6];
  335. assign pgModeArray [PGenNum-6] = pgMode0[5:3];
  336. assign pgModeArray [PGenNum-7] = pgMode0[2:0];
  337. assign pgPulsePolArray [PGenNum-1] = pgMode1[16];
  338. assign pgPulsePolArray [PGenNum-2] = pgMode1[15];
  339. assign pgPulsePolArray [PGenNum-3] = pgMode1[14];
  340. assign pgPulsePolArray [PGenNum-4] = pgMode1[13];
  341. assign pgPulsePolArray [PGenNum-5] = pgMode1[12];
  342. assign pgPulsePolArray [PGenNum-6] = pgMode1[11];
  343. assign pgPulsePolArray [PGenNum-7] = pgMode1[10];
  344. assign pgEnEdgeArray [PGenNum-1] = pgMode1[23];
  345. assign pgEnEdgeArray [PGenNum-2] = pgMode1[22];
  346. assign pgEnEdgeArray [PGenNum-3] = pgMode1[21];
  347. assign pgEnEdgeArray [PGenNum-4] = pgMode1[20];
  348. assign pgEnEdgeArray [PGenNum-5] = pgMode1[19];
  349. assign pgEnEdgeArray [PGenNum-6] = pgMode1[18];
  350. assign pgEnEdgeArray [PGenNum-7] = pgMode1[17];
  351. assign pgRstArray [PGenNum-1] = pgMode1[6];
  352. assign pgRstArray [PGenNum-2] = pgMode1[5];
  353. assign pgRstArray [PGenNum-3] = pgMode1[4];
  354. assign pgRstArray [PGenNum-4] = pgMode1[3];
  355. assign pgRstArray [PGenNum-5] = pgMode1[2];
  356. assign pgRstArray [PGenNum-6] = pgMode1[1];
  357. assign pgRstArray [PGenNum-7] = pgMode1[0];
  358. assign pgMuxCtrlArray [PGenNum-1] = muxCtrl1[19:15];
  359. assign pgMuxCtrlArray [PGenNum-2] = muxCtrl1[14:10];
  360. assign pgMuxCtrlArray [PGenNum-3] = muxCtrl1[9:5];
  361. assign pgMuxCtrlArray [PGenNum-4] = muxCtrl1[4:0];
  362. assign pgMuxCtrlArray [PGenNum-5] = muxCtrl2[19:15];
  363. assign pgMuxCtrlArray [PGenNum-6] = muxCtrl2[14:10];
  364. assign pgMuxCtrlArray [PGenNum-7] = muxCtrl2[9:5];
  365. assign extTrigMuxCtrlArray [TrigPortsNum-1] = muxCtrl4[19:15];
  366. assign extTrigMuxCtrlArray [TrigPortsNum-2] = muxCtrl4[14:10];
  367. assign extTrigMuxCtrlArray [TrigPortsNum-3] = muxCtrl4[9:5];
  368. assign extTrigMuxCtrlArray [TrigPortsNum-4] = muxCtrl4[4:0];
  369. assign extTrigMuxCtrlArray [TrigPortsNum-5] = muxCtrl3[9:5];
  370. assign extTrigMuxCtrlArray [TrigPortsNum-6] = muxCtrl3[4:0];
  371. assign pgP1DelArray[PGenNum-1] = {pG7P123Del[7:0],pG7P1Del};
  372. assign pgP1DelArray[PGenNum-2] = {pG6P123Del[7:0],pG6P1Del};
  373. assign pgP1DelArray[PGenNum-3] = {pG5P123Del[7:0],pG5P1Del};
  374. assign pgP1DelArray[PGenNum-4] = {pG4P123Del[7:0],pG4P1Del};
  375. assign pgP1DelArray[PGenNum-5] = {pG3P123Del[7:0],pG3P1Del};
  376. assign pgP1DelArray[PGenNum-6] = {pG2P123Del[7:0],pG2P1Del};
  377. assign pgP1DelArray[PGenNum-7] = {pG1P123Del[7:0],pG1P1Del};
  378. assign pgP2DelArray[PGenNum-1] = {pG7P123Del[15:8],pG7P2Del};
  379. assign pgP2DelArray[PGenNum-2] = {pG6P123Del[15:8],pG6P2Del};
  380. assign pgP2DelArray[PGenNum-3] = {pG5P123Del[15:8],pG5P2Del};
  381. assign pgP2DelArray[PGenNum-4] = {pG4P123Del[15:8],pG4P2Del};
  382. assign pgP2DelArray[PGenNum-5] = {pG3P123Del[15:8],pG3P2Del};
  383. assign pgP2DelArray[PGenNum-6] = {pG2P123Del[15:8],pG2P2Del};
  384. assign pgP2DelArray[PGenNum-7] = {pG1P123Del[15:8],pG1P2Del};
  385. assign pgP3DelArray[PGenNum-1] = {pG7P123Del[23:16],pG7P3Del};
  386. assign pgP3DelArray[PGenNum-2] = {pG6P123Del[23:16],pG6P3Del};
  387. assign pgP3DelArray[PGenNum-3] = {pG5P123Del[23:16],pG5P3Del};
  388. assign pgP3DelArray[PGenNum-4] = {pG4P123Del[23:16],pG4P3Del};
  389. assign pgP3DelArray[PGenNum-5] = {pG3P123Del[23:16],pG3P3Del};
  390. assign pgP3DelArray[PGenNum-6] = {pG2P123Del[23:16],pG2P3Del};
  391. assign pgP3DelArray[PGenNum-7] = {pG1P123Del[23:16],pG1P3Del};
  392. assign pgP1WidthArray[PGenNum-1] = {pG7P123Width[7:0],pG7P1Width};
  393. assign pgP1WidthArray[PGenNum-2] = {pG6P123Width[7:0],pG6P1Width};
  394. assign pgP1WidthArray[PGenNum-3] = {pG5P123Width[7:0],pG5P1Width};
  395. assign pgP1WidthArray[PGenNum-4] = {pG4P123Width[7:0],pG4P1Width};
  396. assign pgP1WidthArray[PGenNum-5] = {pG3P123Width[7:0],pG3P1Width};
  397. assign pgP1WidthArray[PGenNum-6] = {pG2P123Width[7:0],pG2P1Width};
  398. assign pgP1WidthArray[PGenNum-7] = {pG1P123Width[7:0],pG1P1Width};
  399. assign pgP2WidthArray[PGenNum-1] = {pG7P123Width[15:8],pG7P2Width};
  400. assign pgP2WidthArray[PGenNum-2] = {pG6P123Width[15:8],pG6P2Width};
  401. assign pgP2WidthArray[PGenNum-3] = {pG5P123Width[15:8],pG5P2Width};
  402. assign pgP2WidthArray[PGenNum-4] = {pG4P123Width[15:8],pG4P2Width};
  403. assign pgP2WidthArray[PGenNum-5] = {pG3P123Width[15:8],pG3P2Width};
  404. assign pgP2WidthArray[PGenNum-6] = {pG2P123Width[15:8],pG2P2Width};
  405. assign pgP2WidthArray[PGenNum-7] = {pG1P123Width[15:8],pG1P2Width};
  406. assign pgP3WidthArray[PGenNum-1] = {pG7P123Width[23:16],pG7P3Width};
  407. assign pgP3WidthArray[PGenNum-2] = {pG6P123Width[23:16],pG6P3Width};
  408. assign pgP3WidthArray[PGenNum-3] = {pG5P123Width[23:16],pG5P3Width};
  409. assign pgP3WidthArray[PGenNum-4] = {pG4P123Width[23:16],pG4P3Width};
  410. assign pgP3WidthArray[PGenNum-5] = {pG3P123Width[23:16],pG3P3Width};
  411. assign pgP3WidthArray[PGenNum-6] = {pG2P123Width[23:16],pG2P3Width};
  412. assign pgP3WidthArray[PGenNum-7] = {pG1P123Width[23:16],pG1P3Width};
  413. assign adcDataBus [ChNum-4] = adc1ChT1Data;
  414. assign adcDataBus [ChNum-3] = adc1ChR1Data;
  415. assign adcDataBus [ChNum-2] = adc2ChR2Data;
  416. assign adcDataBus [ChNum-1] = adc2ChT2Data;
  417. assign gainManual [ChNum-4] = gainCtrl[5];
  418. assign gainManual [ChNum-3] = gainCtrl[4];
  419. assign gainManual [ChNum-2] = gainCtrl[6];
  420. assign gainManual [ChNum-1] = gainCtrl[7];
  421. assign gainAutoEn [ChNum-4] = gainCtrl[1];
  422. assign gainAutoEn [ChNum-3] = gainCtrl[0];
  423. assign gainAutoEn [ChNum-2] = gainCtrl[2];
  424. assign gainAutoEn [ChNum-1] = gainCtrl[3];
  425. assign Adc1InitMosi_o = adcInitMosi;
  426. assign Adc2InitMosi_o = adcInitMosi;
  427. assign Adc1InitClk_o = adcInitSck;
  428. assign Adc2InitClk_o = adcInitSck;
  429. assign Adc1InitCs_o = adc0InitCs;
  430. assign Adc2InitCs_o = adc1InitCs;
  431. assign Adc1InitRst_o = adcCtrl[0];
  432. assign Adc2InitRst_o = adcCtrl[0];
  433. assign Led_o = ledReg |(|ampEnNewStates);
  434. assign EndMeas_o = stopMeas|stopMeasR; //stretching pulse for 1 more clk period
  435. assign gainLowThresholdBus [ChNum-4] = gainLowThreshT1;
  436. assign gainLowThresholdBus [ChNum-3] = gainLowThreshR1;
  437. assign gainLowThresholdBus [ChNum-2] = gainLowThreshR2;
  438. assign gainLowThresholdBus [ChNum-1] = gainLowThreshT2;
  439. assign gainHighThresholdBus [ChNum-4] = gainHighThreshT1;
  440. assign gainHighThresholdBus [ChNum-3] = gainHighThreshR1;
  441. assign gainHighThresholdBus [ChNum-2] = gainHighThreshR2;
  442. assign gainHighThresholdBus [ChNum-1] = gainHighThreshT2;
  443. assign AmpEn_o [3] = ~ampEnNewStates[3];
  444. assign AmpEn_o [2] = ~ampEnNewStates[2];
  445. assign AmpEn_o [1] = ~ampEnNewStates[0];
  446. assign AmpEn_o [0] = ~ampEnNewStates[1];
  447. assign Overload_o = overCtrlR;
  448. assign Mod_o = fastMod;
  449. assign PortSel_o = ~modKeyCtrl[1:0];
  450. assign Trig6to1Dir_o [0] = !measCtrl[16];
  451. assign Trig6to1Dir_o [1] = !measCtrl[17];
  452. assign Trig6to1Dir_o [2] = !measCtrl[18];
  453. assign Trig6to1Dir_o [3] = !measCtrl[19];
  454. assign Trig6to1Dir_o [4] = !measCtrl[20];
  455. assign Trig6to1Dir_o [5] = !measCtrl[21];
  456. assign Trig6to1_io [0] = (measCtrl[16]) ? 1'bz:extPortsMuxedOut[0]; //1 - in, 0 - out
  457. assign Trig6to1_io [1] = (measCtrl[17]) ? 1'bz:extPortsMuxedOut[1]; //1 - in, 0 - out
  458. assign Trig6to1_io [2] = (measCtrl[18]) ? 1'bz:extPortsMuxedOut[2]; //1 - in, 0 - out
  459. assign Trig6to1_io [3] = (measCtrl[19]) ? 1'bz:extPortsMuxedOut[3]; //1 - in, 0 - out
  460. assign Trig6to1_io [4] = (measCtrl[20]) ? 1'bz:extPortsMuxedOut[4]; //1 - in, 0 - out
  461. assign Trig6to1_io [5] = (measCtrl[21]) ? 1'bz:extPortsMuxedOut[5]; //1 - in, 0 - out
  462. //================================================================================
  463. // CODING
  464. //================================================================================
  465. integer m;
  466. always @(posedge gclk) begin //stretching pulse
  467. stopMeasR <= stopMeas;
  468. end
  469. //--------------------------------------------------------------------------------
  470. // Data Receiving Interface
  471. //--------------------------------------------------------------------------------
  472. IBUFDS
  473. #(
  474. .DIFF_TERM ("FALSE")
  475. )
  476. iobdds_50m_in
  477. (
  478. .I (ClkP_i),
  479. .IB (ClkN_i),
  480. .O (gclk)
  481. );
  482. Clk200Gen Clk200Gen
  483. (
  484. .Clk_i (gclk),
  485. .Rst_i (initRst),
  486. .Clk200_o (refClk),
  487. .Clk10Timers_o (TimersClk_o),
  488. .Clk150_o (windClk150),
  489. .Locked_o (Locked200)
  490. );
  491. AdcDataInterface
  492. #(
  493. .AdcDataWidth (AdcDataWidth),
  494. .ChNum (ChNum),
  495. .Ratio (Ratio)
  496. )
  497. AdcDataInterface
  498. (
  499. .Clk_i (gclk),
  500. .RefClk_i (refClk),
  501. .Locked_i (Locked200),
  502. .Rst_i (initRst),
  503. .Adc1FclkP_i (Adc1FclkP_i),
  504. .Adc1FclkN_i (Adc1FclkN_i),
  505. .testAdc (AdcData_i),
  506. .Adc1DataDa0P_i (Adc1DataDa0P_i),
  507. .Adc1DataDa0N_i (Adc1DataDa0N_i),
  508. .Adc1DataDa1P_i (Adc1DataDa1P_i),
  509. .Adc1DataDa1N_i (Adc1DataDa1N_i),
  510. .Adc1DataDb0P_i (Adc1DataDb0P_i),
  511. .Adc1DataDb0N_i (Adc1DataDb0N_i),
  512. .Adc1DataDb1P_i (Adc1DataDb1P_i),
  513. .Adc1DataDb1N_i (Adc1DataDb1N_i),
  514. .Adc2FclkP_i (Adc2FclkP_i),
  515. .Adc2FclkN_i (Adc2FclkN_i),
  516. .Adc2DataDa0P_i (Adc2DataDa0P_i),
  517. .Adc2DataDa0N_i (Adc2DataDa0N_i),
  518. .Adc2DataDa1P_i (Adc2DataDa1P_i),
  519. .Adc2DataDa1N_i (Adc2DataDa1N_i),
  520. .Adc2DataDb0P_i (Adc2DataDb0P_i),
  521. .Adc2DataDb0N_i (Adc2DataDb0N_i),
  522. .Adc2DataDb1P_i (Adc2DataDb1P_i),
  523. .Adc2DataDb1N_i (Adc2DataDb1N_i),
  524. .Adc1ChT1Data_o (adc1ChT1Data),
  525. .Adc1ChR1Data_o (adc1ChR1Data),
  526. .Adc2ChR2Data_o (adc2ChR2Data),
  527. .Adc2ChT2Data_o (adc2ChT2Data)
  528. );
  529. //--------------------------------------------------------------------------------
  530. // External DSP Interface
  531. //--------------------------------------------------------------------------------
  532. DspInterface
  533. #(
  534. .ODataWidth (LpDataWidth),
  535. .ResultWidth (ResultWidth),
  536. .ChNum (ChNum),
  537. .CmdRegWidth (CmdRegWidth),
  538. .CmdDataRegWith (CmdDataRegWith),
  539. .HeaderWidth (HeaderWidth),
  540. .DataCntWidth (DataCntWidth)
  541. )
  542. ExternalDspInterface
  543. (
  544. .Clk_i (gclk),
  545. .Rst_i (initRst),
  546. .OscWind_i (oscWind),
  547. .StartMeasDsp_i (startMeasSync),
  548. .DspReadyForRx_i (DspReadyForRx_i),
  549. .MeasNum_i ({measNum2[7:0],measNum1}),
  550. .Mosi_i (Mosi_i),
  551. .Sck_i (Sck_i),
  552. .Ss_i (Ss_i),
  553. .Mode_i (measCtrl[0]),
  554. .PortSel_i (measCtrl[23:22]),
  555. .DecimFactor_i (measCtrl[3:1]),
  556. .IfFtwL_i (ifFtwL),
  557. .IfFtwH_i (ifFtwH),
  558. .OscDataRdFlag_o (oscDataRdFlag),
  559. .Adc1ChT1Data_i (adc1ChT1Data),
  560. .Adc1ChR1Data_i (adc1ChR1Data),
  561. .Adc2ChR2Data_i (adc2ChT2Data),
  562. .Adc2ChT2Data_i (adc2ChR2Data),
  563. // .Adc1ChT1Data_i (AdcData_i),
  564. // .Adc1ChR1Data_i (AdcData_i),
  565. // .Adc2ChR2Data_i (AdcData_i),
  566. // .Adc2ChT2Data_i (AdcData_i),
  567. // .Adc1ChT1Data_i (14'h1fff),
  568. // .Adc1ChR1Data_i (14'h257f),
  569. // .Adc2ChR2Data_i (14'h1001),
  570. // .Adc2ChT2Data_i (14'h25f8),
  571. .Mosi_o (adcInitMosi),
  572. .Sck_o (adcInitSck),
  573. .Ss0_o (adc0InitCs),
  574. .Ss1_o (adc1InitCs),
  575. .Miso_i (Miso_i),
  576. .Miso_o (Miso_o),
  577. .CmdDataReg_o (cmdDataReg),
  578. .CmdDataVal_o (cmdDataVal),
  579. .AnsReg_i (ansReg),
  580. .AnsAddr_o (ansAddr),
  581. .LpOutFs_o (LpOutFs_o),
  582. .LpOutClk_o (LpOutClk_o),
  583. .LpOutData_o (LpOutData_o),
  584. .Adc1T1ImResult_i (adc1ImT1),
  585. .Adc1T1ReResult_i (adc1ReT1),
  586. .Adc1R1ImResult_i (adc1ImR1),
  587. .Adc1R1ReResult_i (adc1ReR1),
  588. .Adc2R2ImResult_i (adc2ImR2),
  589. .Adc2R2ReResult_i (adc2ReR2),
  590. .Adc2T2ImResult_i (adc2ImT2),
  591. .Adc2T2ReResult_i (adc2ReT2),
  592. .ServiseRegData_i (ampEnNewStates),
  593. .LpOutStart_i (measDataRdy)
  594. );
  595. //--------------------------------------------------------------------------------
  596. // Internal DSP calculation module
  597. //--------------------------------------------------------------------------------
  598. always @(posedge gclk) begin
  599. if (!initRst) begin
  600. startMeasSync <= StartMeas_i;
  601. end else begin
  602. startMeasSync <= 1'b0;
  603. end
  604. end
  605. NcoRstGen NcoRstGenInst
  606. (
  607. .Clk_i (gclk),
  608. .Rst_i (initRst),
  609. .NcoPhInc_i ({ifFtwH[0+:PhIncWidth-CmdDataRegWith],ifFtwL}),
  610. .StartMeasEvent_i (startMeasEvent),
  611. .NcoRst_o (ncoRst),
  612. .StartMeasEvent_o (intTrig1)
  613. );
  614. InternalDsp
  615. #(
  616. .AdcDataWidth (AdcDataWidth),
  617. .ChNum (ChNum),
  618. .ResultWidth (ResultWidth),
  619. .CmdDataRegWith (CmdDataRegWith)
  620. )
  621. InternalDsp
  622. (
  623. .Clk_i (gclk),
  624. .WindCalcClk_i (windClk150),
  625. .Rst_i (initRst),
  626. .NcoRst_i (ncoRst),
  627. .OscWind_o (oscWind),
  628. .Adc1ChT1Data_i (adc1ChT1Data), //T1
  629. .Adc1ChR1Data_i (adc1ChR1Data), //R1
  630. .Adc2ChR2Data_i (adc2ChR2Data), //R2
  631. .Adc2ChT2Data_i (adc2ChT2Data), //T2
  632. // .Adc1ChT1Data_i (AdcData_i), //T1
  633. // .Adc1ChR1Data_i (AdcData_i), //R1
  634. // .Adc2ChR2Data_i (AdcData_i), //R2
  635. // .Adc2ChT2Data_i (AdcData_i), //T2
  636. .GatingPulse_i (gatingPulse),
  637. .StartMeas_i (measStart),
  638. .StartMeasDsp_i (startMeasSync),
  639. .OscDataRdFlag_i (oscDataRdFlag),
  640. .MeasNum_i ({measNum2[7:0],measNum1}),
  641. .MeasCtrl_i (measCtrl),
  642. .FilterCorrCoefH_i (filterCorrCoefH),
  643. .FilterCorrCoefL_i (filterCorrCoefL),
  644. .CalModeEn_i (adcCtrl[1]),
  645. .CalModeDone_o (calDone),
  646. .IfFtwL_i (ifFtwL),
  647. .IfFtwH_i (ifFtwH),
  648. .NcoSin_o (ncoSin),
  649. .NcoCos_o (ncoCos),
  650. .Adc1ImT1Data_o (adc1ImT1),
  651. .Adc1ReT1Data_o (adc1ReT1),
  652. .Adc1ImR1Data_o (adc1ImR1),
  653. .Adc1ReR1Data_o (adc1ReR1),
  654. .Adc2ImR2Data_o (adc2ImR2),
  655. .Adc2ReR2Data_o (adc2ReR2),
  656. .Adc2ImT2Data_o (adc2ImT2),
  657. .Adc2ReT2Data_o (adc2ReT2),
  658. .MeasDataRdy_o (measDataRdy),
  659. .EndMeas_o (stopMeas),
  660. .MeasWind_o (measWind),
  661. .MeasEnd_o (measEnd),
  662. .SampleStrobeGenRst_o (sampleStrobeGenRst)
  663. );
  664. //--------------------------------------------------------------------------------
  665. // Reg Map With Config Registers
  666. //--------------------------------------------------------------------------------
  667. RegMap
  668. #(
  669. .CmdRegWidth (CmdRegWidth),
  670. .HeaderWidth (HeaderWidth),
  671. .CmdDataRegWith (CmdDataRegWith)
  672. )
  673. RegMapInst
  674. (
  675. .Clk_i (gclk),
  676. .Rst_i (initRst),
  677. .PGenRstDone_i (pGenRstDone),
  678. .Val_i (cmdDataVal),
  679. .CalDone_i (calDone),
  680. .Data_i (cmdDataReg),
  681. .AnsAddr_i (ansAddr),
  682. .AnsDataReg_o (ansReg),
  683. .OverCtrlReg_i (overCtrl),
  684. .GainCtrlReg_o (gainCtrl),
  685. .GainLowThreshT1Reg_o (gainLowThreshT1),
  686. .GainHighThreshT1Reg_o (gainHighThreshT1),
  687. .GainLowThreshR1Reg_o (gainLowThreshR1),
  688. .GainHighThreshR1Reg_o (gainHighThreshR1),
  689. .GainLowThreshT2Reg_o (gainLowThreshT2),
  690. .GainHighThreshT2Reg_o (gainHighThreshT2),
  691. .GainLowThreshR2Reg_o (gainLowThreshR2),
  692. .GainHighThreshR2Reg_o (gainHighThreshR2),
  693. .OverThreshReg_o (overThresh),
  694. .DitherCtrlReg_o (ditherCtrl),
  695. .MeasCtrlReg_o (measCtrl),
  696. .AdcCtrlReg_o (adcCtrl),
  697. .AdcDirectRd0Reg_o (adcDirectRd0),
  698. .AdcDirectRd1Reg_o (adcDirectRd1),
  699. .IfFtwRegL_o (ifFtwL),
  700. .IfFtwRegH_o (ifFtwH),
  701. .FilterCorrCoefRegL_o (filterCorrCoefL),
  702. .FilterCorrCoefRegH_o (filterCorrCoefH),
  703. .DspTrigInReg_o (dspTrigIn),
  704. .DspTrigOutReg_o (dspTrigOut),
  705. .DspTrigIn1Reg_o (dspTrigIn1),
  706. .DspTrigIn2Reg_o (dspTrigIn2),
  707. .DspTrigOut1Reg_o (dspTrigOut1),
  708. .DspTrigOut2Reg_o (dspTrigOut2),
  709. .PG1P1DelayReg_o (pG1P1Del),
  710. .PG1P2DelayReg_o (pG1P2Del),
  711. .PG1P3DelayReg_o (pG1P3Del),
  712. .PG1P123DelayReg_o (pG1P123Del),
  713. .PG1P1WidthReg_o (pG1P1Width),
  714. .PG1P2WidthReg_o (pG1P2Width),
  715. .PG1P3WidthReg_o (pG1P3Width),
  716. .PG1P123WidthReg_o (pG1P123Width),
  717. //PG2 Regs
  718. .PG2P1DelayReg_o (pG2P1Del),
  719. .PG2P2DelayReg_o (pG2P2Del),
  720. .PG2P3DelayReg_o (pG2P3Del),
  721. .PG2P123DelayReg_o (pG2P123Del),
  722. .PG2P1WidthReg_o (pG2P1Width),
  723. .PG2P2WidthReg_o (pG2P2Width),
  724. .PG2P3WidthReg_o (pG2P3Width),
  725. .PG2P123WidthReg_o (pG2P123Width),
  726. //PG3 Regs
  727. .PG3P1DelayReg_o (pG3P1Del),
  728. .PG3P2DelayReg_o (pG3P2Del),
  729. .PG3P3DelayReg_o (pG3P3Del),
  730. .PG3P123DelayReg_o (pG3P123Del),
  731. .PG3P1WidthReg_o (pG3P1Width),
  732. .PG3P2WidthReg_o (pG3P2Width),
  733. .PG3P3WidthReg_o (pG3P3Width),
  734. .PG3P123WidthReg_o (pG3P123Width),
  735. //PG4 Regs
  736. .PG4P1DelayReg_o (pG4P1Del),
  737. .PG4P2DelayReg_o (pG4P2Del),
  738. .PG4P3DelayReg_o (pG4P3Del),
  739. .PG4P123DelayReg_o (pG4P123Del),
  740. .PG4P1WidthReg_o (pG4P1Width),
  741. .PG4P2WidthReg_o (pG4P2Width),
  742. .PG4P3WidthReg_o (pG4P3Width),
  743. .PG4P123WidthReg_o (pG4P123Width),
  744. //PG5 Regs
  745. .PG5P1DelayReg_o (pG5P1Del),
  746. .PG5P2DelayReg_o (pG5P2Del),
  747. .PG5P3DelayReg_o (pG5P3Del),
  748. .PG5P123DelayReg_o (pG5P123Del),
  749. .PG5P1WidthReg_o (pG5P1Width),
  750. .PG5P2WidthReg_o (pG5P2Width),
  751. .PG5P3WidthReg_o (pG5P3Width),
  752. .PG5P123WidthReg_o (pG5P123Width),
  753. //PG6 Regs
  754. .PG6P1DelayReg_o (pG6P1Del),
  755. .PG6P2DelayReg_o (pG6P2Del),
  756. .PG6P3DelayReg_o (pG6P3Del),
  757. .PG6P123DelayReg_o (pG6P123Del),
  758. .PG6P1WidthReg_o (pG6P1Width),
  759. .PG6P2WidthReg_o (pG6P2Width),
  760. .PG6P3WidthReg_o (pG6P3Width),
  761. .PG6P123WidthReg_o (pG6P123Width),
  762. //PG7 Regs
  763. .PG7P1DelayReg_o (pG7P1Del),
  764. .PG7P2DelayReg_o (pG7P2Del),
  765. .PG7P3DelayReg_o (pG7P3Del),
  766. .PG7P123DelayReg_o (pG7P123Del),
  767. .PG7P1WidthReg_o (pG7P1Width),
  768. .PG7P2WidthReg_o (pG7P2Width),
  769. .PG7P3WidthReg_o (pG7P3Width),
  770. .PG7P123WidthReg_o (pG7P123Width),
  771. .MeasNum1Reg_o (measNum1),
  772. .MeasNum2Reg_o (measNum2),
  773. .PgMode0Reg_o (pgMode0),
  774. .PgMode1Reg_o (pgMode1),
  775. .MuxCtrl1Reg_o (muxCtrl1),
  776. .MuxCtrl2Reg_o (muxCtrl2),
  777. .MuxCtrl3Reg_o (muxCtrl3),
  778. .MuxCtrl4Reg_o (muxCtrl4)
  779. );
  780. //--------------------------------------------------------------------------------
  781. // Global FPGA reset generator
  782. //--------------------------------------------------------------------------------
  783. InitRst FpgaInitRst
  784. (
  785. .clk_i (gclk),
  786. .signal_o (initRst)
  787. );
  788. //--------------------------------------------------------------------------------
  789. // ADC overload detection
  790. //--------------------------------------------------------------------------------
  791. genvar i;
  792. generate
  793. for (i=0; i<ChNum; i=i+1) begin :OverControl
  794. OverloadDetect
  795. #(
  796. .ThresholdWidth (ThresholdWidth),
  797. .AdcDataWidth (AdcDataWidth),
  798. .MeasPeriod (MeasPeriod)
  799. )
  800. OverloadDetect
  801. (
  802. .Rst_i (initRst),
  803. .Clk_i (gclk),
  804. .AdcData_i (adcDataBus[i]),
  805. .OverThreshold_i (overThresh),
  806. .Overload_o (overCtrlChannels[i])
  807. );
  808. end
  809. endgenerate
  810. //--------------------------------------------------------------------------------
  811. // Gain Control module
  812. //--------------------------------------------------------------------------------
  813. genvar g;
  814. generate
  815. for (g=0; g<ChNum; g=g+1) begin :GainControl
  816. GainControlWrapper
  817. #(
  818. .AdcDataWidth (AdcDataWidth),
  819. .ThresholdWidth (ThresholdWidth),
  820. .PhIncWidth (PhIncWidth),
  821. .IfNcoOutWidth (NcoWidth),
  822. .MeasPeriod (MeasPeriod)
  823. )
  824. GainControlModule
  825. (
  826. .Rst_i (initRst),
  827. .Clk_i (gclk),
  828. .StartMeas_i (sampleStrobe),
  829. .NcoSin_i (ncoSin),
  830. .NcoCos_i (ncoCos),
  831. .AdcData_i (adcDataBus[g]),
  832. // .AdcData_i (AdcData_i),
  833. .GainLowThreshold_i (gainLowThresholdBus[g]),
  834. .GainHighThreshold_i(gainHighThresholdBus[g]),
  835. .GainAutoEn_i (gainAutoEn[g]),
  836. .GainManualState_i (gainManual[g]),
  837. .AmpEnNewState_o (ampEnNewStates[g]),
  838. .SensEn_o (sensEn[g]),
  839. .MeasStart_o (measStartBus[g])
  840. );
  841. end
  842. endgenerate
  843. always @(*) begin
  844. if (!initRst) begin
  845. case(gainAutoEn)
  846. 4'd0: begin
  847. measStart = &measStartBus;
  848. end
  849. 4'd1: begin
  850. measStart = measStartBus[0];
  851. end
  852. 4'd2: begin
  853. measStart = measStartBus[1];
  854. end
  855. 4'd3: begin
  856. measStart = measStartBus[0]&measStartBus[1];
  857. end
  858. 4'd4: begin
  859. measStart = &measStartBus[2];
  860. end
  861. 4'd5: begin
  862. measStart = measStartBus[0]&measStartBus[2];
  863. end
  864. 4'd6: begin
  865. measStart = measStartBus[1]&measStartBus[2];
  866. end
  867. 4'd7: begin
  868. measStart = measStartBus[0]&measStartBus[1]&measStartBus[2];
  869. end
  870. 4'd8: begin
  871. measStart = measStartBus[3];
  872. end
  873. 4'd9: begin
  874. measStart = measStartBus[0]&measStartBus[3];
  875. end
  876. 4'd10: begin
  877. measStart = measStartBus[1]&measStartBus[3];
  878. end
  879. 4'd11: begin
  880. measStart = measStartBus[0]&measStartBus[1]&measStartBus[3];
  881. end
  882. 4'd12: begin
  883. measStart = measStartBus[2]&measStartBus[3];
  884. end
  885. 4'd13: begin
  886. measStart = measStartBus[0]&measStartBus[2]&measStartBus[3];
  887. end
  888. 4'd14: begin
  889. measStart = measStartBus[1]&measStartBus[2]&measStartBus[3];
  890. end
  891. 4'd15: begin
  892. measStart = &measStartBus;
  893. end
  894. endcase
  895. end
  896. end
  897. //--------------------------------------------------------------------------------
  898. // Trig TO/FROM DSP
  899. //--------------------------------------------------------------------------------
  900. Mux
  901. #(
  902. .CmdRegWidth (CmdRegWidth),
  903. .PGenNum (PGenNum),
  904. .TrigPortsNum (TrigPortsNum)
  905. )
  906. DspTrigMux
  907. (
  908. .Rst_i (initRst),
  909. .MuxCtrl_i (measNum2[13:9]),
  910. .DspTrigOut_i (1'b0),
  911. .DspStartCmd_i (1'b0),
  912. .IntTrig_i (1'b0),
  913. .IntTrig2_i (1'b0),
  914. .PulseBus_i (7'd0),
  915. .ExtPortsBus_i (Trig6to1_io),
  916. .MuxOut_o (DspTrigIn_o)
  917. );
  918. //--------------------------------------------------------------------------------
  919. // Dither Gen
  920. //--------------------------------------------------------------------------------
  921. DitherGenv2 DitherGenInst
  922. (
  923. .Rst_i (initRst),
  924. .Clk_i (gclk),
  925. .DitherCmd_i (ditherCtrl),
  926. .DitherCtrlT2R2_o (DitherCtrlCh1_o),
  927. .DitherCtrlT1R1_o (DitherCtrlCh2_o)
  928. );
  929. //--------------------------------------------------------------------------------
  930. // MeasTrigMux
  931. //--------------------------------------------------------------------------------
  932. Mux
  933. #(
  934. .CmdRegWidth (CmdRegWidth),
  935. .PGenNum (PGenNum),
  936. .TrigPortsNum (TrigPortsNum)
  937. )
  938. MeasTrigMux
  939. (
  940. .Rst_i (initRst),
  941. .MuxCtrl_i (muxCtrl3[14:10]),
  942. .DspTrigOut_i (1'b0),
  943. .DspStartCmd_i (startMeasSync),
  944. .IntTrig_i (1'b0),
  945. .IntTrig2_i (1'b0),
  946. .PulseBus_i (7'b0),
  947. .ExtPortsBus_i (Trig6to1_io),
  948. .MuxOut_o (measTrig)
  949. );
  950. //--------------------------------------------------------------------------------
  951. // MeasStartEventGen
  952. //--------------------------------------------------------------------------------
  953. MeasStartEventGen MeasStartEventGenInst
  954. (
  955. .Rst_i (initRst),
  956. .Clk_i (gclk),
  957. .MeasTrig_i (measTrig),
  958. .StartMeasDsp_i (startMeasSync),
  959. .StartMeasEvent_o (startMeasEvent),
  960. .InitTrig_o ()
  961. );
  962. //--------------------------------------------------------------------------------
  963. // IntTrig2 Mux
  964. //--------------------------------------------------------------------------------
  965. TrigInt2Mux
  966. #(
  967. .PGenNum (PGenNum)
  968. )
  969. InitTrig2Mux
  970. (
  971. .Rst_i (initRst),
  972. .MuxCtrl_i (muxCtrl3[23:20]),
  973. .PulseBus_i (pulseBus),
  974. .MuxOut_o (trigForIntTrig2)
  975. );
  976. //--------------------------------------------------------------------------------
  977. // MeasStartEventGen
  978. //--------------------------------------------------------------------------------
  979. MeasStartEventGen IntTrig2GenInst
  980. (
  981. .Rst_i (initRst),
  982. .Clk_i (gclk),
  983. .MeasTrig_i (trigForIntTrig2),
  984. // .StartMeasDsp_i (startMeasEvent),
  985. .StartMeasDsp_i (intTrig1),
  986. .StartMeasEvent_o (),
  987. .InitTrig_o (intTrig2)
  988. );
  989. //--------------------------------------------------------------------------------
  990. // Pulse Meas modules
  991. //--------------------------------------------------------------------------------
  992. //--------------------------------------------------------------------------------
  993. // Pulse Gens
  994. //--------------------------------------------------------------------------------
  995. PGenRstGenerator PGenRstGen
  996. (
  997. .Rst_i (initRst),
  998. .Clk_i (gclk),
  999. .PGenRst_i (pgRstArray),
  1000. .PGenRst_o (pGenRst),
  1001. .RstDone_o (pGenRstDone)
  1002. );
  1003. genvar j;
  1004. generate
  1005. for (j=0; j<PGenNum; j=j+1) begin :PGen
  1006. Mux
  1007. #(
  1008. .CmdRegWidth (CmdRegWidth),
  1009. .PGenNum (PGenNum),
  1010. .TrigPortsNum (TrigPortsNum)
  1011. )
  1012. PulseGenMux
  1013. (
  1014. .Rst_i (initRst),
  1015. .MuxCtrl_i (pgMuxCtrlArray[j]),
  1016. .DspTrigOut_i (1'b0),
  1017. .DspStartCmd_i (1'b0),
  1018. .IntTrig_i (intTrig1),
  1019. .IntTrig2_i (intTrig2),
  1020. .PulseBus_i (pulseBus),
  1021. .ExtPortsBus_i (Trig6to1_io),
  1022. .MuxOut_o (pgMuxedOut[j])
  1023. );
  1024. PulseGen
  1025. #(
  1026. .CmdRegWidth (CmdRegWidth)
  1027. )
  1028. PulseGenerator
  1029. (
  1030. .Rst_i (initRst|pGenRst[j]|pGenMeasRst[j]),
  1031. .Clk_i (gclk),
  1032. .EnPulse_i (pgMuxedOut[j]),
  1033. .PulsePol_i (pgPulsePolArray[j]),
  1034. .EnEdge_i (pgEnEdgeArray[j]),
  1035. .Mode_i (pgModeArray[j]),
  1036. .P1Del_i (pgP1DelArray[j]),
  1037. .P2Del_i (pgP2DelArray[j]),
  1038. .P3Del_i (pgP3DelArray[j]),
  1039. .P1Width_i (pgP1WidthArray[j]),
  1040. .P2Width_i (pgP2WidthArray[j]),
  1041. .P3Width_i (pgP3WidthArray[j]),
  1042. .Pulse_o (pulseBus[j])
  1043. );
  1044. end
  1045. endgenerate
  1046. //--------------------------------------------------------------------------------
  1047. // External ports mux
  1048. //--------------------------------------------------------------------------------
  1049. genvar l;
  1050. generate
  1051. for (l=0; l<TrigPortsNum; l=l+1) begin :ExtPortsMux
  1052. Mux
  1053. #(
  1054. .CmdRegWidth (CmdRegWidth),
  1055. .PGenNum (PGenNum),
  1056. .TrigPortsNum (TrigPortsNum)
  1057. )
  1058. ExtPortsMux
  1059. (
  1060. .Rst_i (initRst),
  1061. .MuxCtrl_i (extTrigMuxCtrlArray[l]),
  1062. .DspTrigOut_i (DspTrigOut_i),
  1063. .DspStartCmd_i (startMeasSync), //tut nichego nebilo 14.02.2023 zamknul suda startMeasSync
  1064. .IntTrig_i (intTrig1),
  1065. .IntTrig2_i (intTrig2),
  1066. .PulseBus_i (pulseBus),
  1067. .ExtPortsBus_i (Trig6to1_io),
  1068. .MuxOut_o (extPortsMuxedOut[l])
  1069. );
  1070. end
  1071. endgenerate
  1072. //--------------------------------------------------------------------------------
  1073. // SlowMod Out Muxer
  1074. //--------------------------------------------------------------------------------
  1075. Mux
  1076. #(
  1077. .CmdRegWidth (CmdRegWidth),
  1078. .PGenNum (PGenNum),
  1079. .TrigPortsNum (TrigPortsNum)
  1080. )
  1081. SlowModMux
  1082. (
  1083. .Rst_i (initRst),
  1084. .MuxCtrl_i (measNum2[18:14]),
  1085. .DspTrigOut_i (1'b0),
  1086. .DspStartCmd_i (1'b0),
  1087. .IntTrig_i (1'b0),
  1088. .IntTrig2_i (1'b0),
  1089. .PulseBus_i (pulseBus),
  1090. .ExtPortsBus_i (Trig6to1_io),
  1091. .MuxOut_o (slowMod)
  1092. );
  1093. //--------------------------------------------------------------------------------
  1094. // FastMod Out Muxer
  1095. //--------------------------------------------------------------------------------
  1096. Mux
  1097. #(
  1098. .CmdRegWidth (CmdRegWidth),
  1099. .PGenNum (PGenNum),
  1100. .TrigPortsNum (TrigPortsNum)
  1101. )
  1102. FastModMux
  1103. (
  1104. .Rst_i (initRst),
  1105. .MuxCtrl_i (measNum2[23:19]),
  1106. .DspTrigOut_i (1'b0),
  1107. .DspStartCmd_i (1'b0),
  1108. .IntTrig_i (1'b0),
  1109. .IntTrig2_i (1'b0),
  1110. .PulseBus_i (pulseBus),
  1111. .ExtPortsBus_i (Trig6to1_io),
  1112. .MuxOut_o (fastMod)
  1113. );
  1114. //--------------------------------------------------------------------------------
  1115. // Software Gating
  1116. //--------------------------------------------------------------------------------
  1117. Mux
  1118. #(
  1119. .CmdRegWidth (CmdRegWidth),
  1120. .PGenNum (PGenNum),
  1121. .TrigPortsNum (TrigPortsNum)
  1122. )
  1123. GatingMux
  1124. (
  1125. .Rst_i (initRst),
  1126. .MuxCtrl_i (muxCtrl3[19:15]),
  1127. .DspTrigOut_i (1'b0),
  1128. .DspStartCmd_i (1'b0),
  1129. .IntTrig_i (1'b0),
  1130. .IntTrig2_i (1'b0),
  1131. .PulseBus_i (pulseBus),
  1132. .ExtPortsBus_i (Trig6to1_io),
  1133. .MuxOut_o (gatingPulse)
  1134. );
  1135. //--------------------------------------------------------------------------------
  1136. // SampleStrobeMux
  1137. //--------------------------------------------------------------------------------
  1138. Mux
  1139. #(
  1140. .CmdRegWidth (CmdRegWidth),
  1141. .PGenNum (PGenNum),
  1142. .TrigPortsNum (TrigPortsNum)
  1143. )
  1144. SampleStrobeMux
  1145. (
  1146. .Rst_i (initRst),
  1147. .MuxCtrl_i (muxCtrl2[4:0]),
  1148. .DspTrigOut_i (1'b0),
  1149. .DspStartCmd_i (1'b0),
  1150. .IntTrig_i (intTrig1),
  1151. .IntTrig2_i (1'b0),
  1152. .PulseBus_i (pulseBus),
  1153. .ExtPortsBus_i (Trig6to1_io),
  1154. .MuxOut_o (sampleStrobe)
  1155. );
  1156. //--------------------------------------------------------------------------------
  1157. // SampleStrobeGenRstDemux
  1158. //--------------------------------------------------------------------------------
  1159. SampleStrobeGenRstDemux
  1160. #(
  1161. .CmdRegWidth (CmdRegWidth),
  1162. .PGenNum (PGenNum),
  1163. .TrigPortsNum (TrigPortsNum)
  1164. )
  1165. SampleStrobeGenRstDemux
  1166. (
  1167. .Rst_i (initRst),
  1168. .MuxCtrl_i (muxCtrl2[4:0]),
  1169. //.GenRst_i (stopMeas),
  1170. .GenRst_i (sampleStrobeGenRst),
  1171. .RstDemuxOut_o (pGenMeasRst)
  1172. );
  1173. //--------------------------------------------------------------------------------
  1174. // Active Port Selection
  1175. //--------------------------------------------------------------------------------
  1176. ActivePortSelector ActivePortSel
  1177. (
  1178. .Rst_i (initRst),
  1179. .Mod_i (slowMod),
  1180. .Ctrl_i (measCtrl[7:4]),
  1181. .Ctrl_o (modKeyCtrl)
  1182. );
  1183. //--------------------------------------------------------------------------------
  1184. // Debug led
  1185. //--------------------------------------------------------------------------------
  1186. always @(posedge gclk) begin
  1187. if (initRst) begin
  1188. testCnt <= 32'b0;
  1189. end else if (testCnt != TESTCNTPARAM) begin
  1190. testCnt <= testCnt+1;
  1191. end else begin
  1192. testCnt <= 32'd0;
  1193. end
  1194. end
  1195. always @(posedge gclk) begin
  1196. if (initRst) begin
  1197. ledReg <= 1'b0;
  1198. end else if ((testCnt == TESTCNTPARAM-1)) begin
  1199. ledReg <= ~ledReg;
  1200. end
  1201. end
  1202. endmodule