S5243Top.v 39 KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465666768697071727374757677787980818283848586878889909192939495969798991001011021031041051061071081091101111121131141151161171181191201211221231241251261271281291301311321331341351361371381391401411421431441451461471481491501511521531541551561571581591601611621631641651661671681691701711721731741751761771781791801811821831841851861871881891901911921931941951961971981992002012022032042052062072082092102112122132142152162172182192202212222232242252262272282292302312322332342352362372382392402412422432442452462472482492502512522532542552562572582592602612622632642652662672682692702712722732742752762772782792802812822832842852862872882892902912922932942952962972982993003013023033043053063073083093103113123133143153163173183193203213223233243253263273283293303313323333343353363373383393403413423433443453463473483493503513523533543553563573583593603613623633643653663673683693703713723733743753763773783793803813823833843853863873883893903913923933943953963973983994004014024034044054064074084094104114124134144154164174184194204214224234244254264274284294304314324334344354364374384394404414424434444454464474484494504514524534544554564574584594604614624634644654664674684694704714724734744754764774784794804814824834844854864874884894904914924934944954964974984995005015025035045055065075085095105115125135145155165175185195205215225235245255265275285295305315325335345355365375385395405415425435445455465475485495505515525535545555565575585595605615625635645655665675685695705715725735745755765775785795805815825835845855865875885895905915925935945955965975985996006016026036046056066076086096106116126136146156166176186196206216226236246256266276286296306316326336346356366376386396406416426436446456466476486496506516526536546556566576586596606616626636646656666676686696706716726736746756766776786796806816826836846856866876886896906916926936946956966976986997007017027037047057067077087097107117127137147157167177187197207217227237247257267277287297307317327337347357367377387397407417427437447457467477487497507517527537547557567577587597607617627637647657667677687697707717727737747757767777787797807817827837847857867877887897907917927937947957967977987998008018028038048058068078088098108118128138148158168178188198208218228238248258268278288298308318328338348358368378388398408418428438448458468478488498508518528538548558568578588598608618628638648658668678688698708718728738748758768778788798808818828838848858868878888898908918928938948958968978988999009019029039049059069079089099109119129139149159169179189199209219229239249259269279289299309319329339349359369379389399409419429439449459469479489499509519529539549559569579589599609619629639649659669679689699709719729739749759769779789799809819829839849859869879889899909919929939949959969979989991000100110021003100410051006100710081009101010111012101310141015101610171018101910201021102210231024102510261027102810291030103110321033103410351036103710381039104010411042104310441045104610471048104910501051105210531054105510561057105810591060106110621063106410651066106710681069107010711072107310741075107610771078107910801081108210831084108510861087108810891090109110921093109410951096109710981099110011011102110311041105110611071108110911101111111211131114111511161117111811191120112111221123112411251126112711281129113011311132113311341135113611371138113911401141114211431144114511461147114811491150115111521153115411551156115711581159116011611162116311641165116611671168116911701171117211731174117511761177117811791180118111821183118411851186118711881189119011911192119311941195119611971198119912001201120212031204120512061207120812091210121112121213121412151216121712181219122012211222122312241225122612271228122912301231123212331234123512361237123812391240124112421243124412451246124712481249125012511252125312541255125612571258125912601261126212631264126512661267126812691270127112721273127412751276127712781279128012811282128312841285128612871288128912901291129212931294129512961297129812991300130113021303130413051306130713081309131013111312131313141315131613171318131913201321132213231324132513261327132813291330133113321333133413351336133713381339134013411342134313441345134613471348134913501351135213531354135513561357135813591360136113621363136413651366136713681369137013711372137313741375137613771378137913801381138213831384138513861387138813891390139113921393139413951396139713981399140014011402140314041405140614071408140914101411141214131414141514161417141814191420142114221423142414251426142714281429143014311432143314341435143614371438143914401441144214431444144514461447144814491450145114521453145414551456145714581459
  1. `timescale 1ns / 1ps
  2. (* keep_hierarchy = "yes" *)
  3. //////////////////////////////////////////////////////////////////////////////////
  4. // company:
  5. // engineer:
  6. //
  7. // create date: 12:23:20 05/20/2019
  8. // design name:
  9. // module name: S5443Top
  10. // project name:
  11. // target devices:
  12. // tool versions:
  13. // description:
  14. //
  15. // dependencies:
  16. //
  17. // revision:
  18. // revision 0.01 - file created
  19. // additional comments:
  20. //
  21. //================================================================================
  22. //
  23. //Spi clock for ADC initialization is 15Mhz.
  24. //Spi clock for RegMap work is 41Mhz.
  25. //Нужно сделать процедуру сброса для импульсных измерений, такую же как для обычных, тоесть по детектированию спадающего фронта StartMeas.
  26. //Забрать из команды настройки измерения, биты управления ключем и замкнуть на выходы.
  27. //////////////////////////////////////////////////////////////////////////////////
  28. // xc7s25-2csga225
  29. // new feature added
  30. module S5243Top
  31. #(
  32. parameter LpDataWidth = 16,
  33. parameter CtrlWidth = 4,
  34. parameter AdcDataWidth = 14,
  35. parameter ThresholdWidth = 24,
  36. parameter ResultWidth = 32,
  37. parameter ChNum = 4,
  38. parameter PGenNum = 7,
  39. parameter TrigPortsNum = 6,
  40. parameter Ratio = 8,
  41. parameter DelayValue = 24000,
  42. parameter LengthWidth = 2000,
  43. parameter DataWidth = 24,
  44. parameter DataNum = 26,
  45. parameter CmdRegWidth = 32,
  46. parameter HeaderWidth = 7,
  47. parameter CmdDataRegWith = 24,
  48. parameter DataCntWidth = 5,
  49. parameter Divparam = 4,
  50. parameter MeasPeriod = 44,
  51. parameter PhIncWidth = 32,
  52. parameter NcoWidth = 18
  53. )
  54. (
  55. //common ports
  56. input ClkP_i,
  57. input ClkN_i,
  58. output Led_o,
  59. //fpga-adc1 data interface
  60. input Adc1FclkP_i,
  61. input Adc1FclkN_i,
  62. input Adc1DataDa0P_i,
  63. input Adc1DataDa0N_i,
  64. input Adc1DataDa1P_i,
  65. input Adc1DataDa1N_i,
  66. input Adc1DataDb0P_i,
  67. input Adc1DataDb0N_i,
  68. input Adc1DataDb1P_i,
  69. input Adc1DataDb1N_i,
  70. //fpga-adc2 data interface
  71. input Adc2FclkP_i,
  72. input Adc2FclkN_i,
  73. input Adc2DataDa0P_i,
  74. input Adc2DataDa0N_i,
  75. input Adc2DataDa1P_i,
  76. input Adc2DataDa1N_i,
  77. input Adc2DataDb0P_i,
  78. input Adc2DataDb0N_i,
  79. input Adc2DataDb1P_i,
  80. input Adc2DataDb1N_i,
  81. //fpga-adc's initialization interface
  82. output Adc1InitMosi_o,
  83. output Adc2InitMosi_o,
  84. output Adc1InitClk_o,
  85. output Adc2InitClk_o,
  86. output Adc1InitCs_o,
  87. output Adc2InitCs_o,
  88. output Adc1InitRst_o,
  89. output Adc2InitRst_o,
  90. //ditherCtrl
  91. output DitherCtrlCh1_o,
  92. output DitherCtrlCh2_o,
  93. //fpga-dsp cmd interface
  94. input Mosi_i,
  95. input Sck_i,
  96. input Ss_i,
  97. input Miso_i,
  98. output Miso_o,
  99. //fpga-dsp data interface
  100. output LpOutClk_o,
  101. output LpOutFs_o,
  102. output [LpDataWidth-1:0] LpOutData_o,
  103. //fpga-dsp signals
  104. input StartMeas_i, //"high"- start meas, "low"-stop meas
  105. //output StartMeas_o,
  106. output EndMeas_o,
  107. output TimersClk_o,
  108. //trigger's
  109. inout [TrigPortsNum-1:0] Trig6to1_io, //Trigger0 from/to external device
  110. output [TrigPortsNum-1:0] Trig6to1Dir_o, //Trigger0 direction
  111. input DspTrigOut_i, //Trig from DSP
  112. output DspTrigIn_o, //Trig To DSP
  113. //overload lines
  114. //input OverloadS_i,
  115. output Overload_o,
  116. //modulation & active port selection
  117. output [1:0] PortSel_o, //управление модулятором через ключ
  118. //output [3:0] PortSelDir_o, //управление направлением двунаправленного буффера
  119. //mod out line
  120. output Mod_o,
  121. //gain lines
  122. inout SensEnM_io,
  123. //output StartMeasDsp_o,
  124. output [ChNum-1:0] AmpEn_o, // 0-adc1ChA 1-adc1ChB 2-adc2ChA 3-adc2ChB
  125. ///test port for testbench
  126. input [AdcDataWidth-1:0] AdcData_i
  127. );
  128. //================================================================================
  129. // reg/wire
  130. //================================================================================
  131. //captured data
  132. wire [AdcDataWidth-1:0] adc1ChT1Data;
  133. wire [AdcDataWidth-1:0] adc1ChR1Data;
  134. wire [AdcDataWidth-1:0] adc2ChR2Data;
  135. wire [AdcDataWidth-1:0] adc2ChT2Data;
  136. reg startMeasSync;
  137. wire startMeasEvent;
  138. wire intTrig1;
  139. reg startMeasEventReg;
  140. wire gatingPulse;
  141. wire sampleStrobe;
  142. wire [ChNum-1:0] measStartBus;
  143. // wire measStart = &measStartBus;
  144. reg measStart;
  145. //spi signals for adc init
  146. wire adcInitRst;
  147. wire adcInitMosi;
  148. wire adcInitSck;
  149. wire adc0InitCs;
  150. wire adc1InitCs;
  151. wire [ResultWidth-1:0] adc1ImT1;
  152. wire [ResultWidth-1:0] adc1ReT1;
  153. wire [ResultWidth-1:0] adc1ImR1;
  154. wire [ResultWidth-1:0] adc1ReR1;
  155. wire [ResultWidth-1:0] adc2ImT2;
  156. wire [ResultWidth-1:0] adc2ReT2;
  157. wire [ResultWidth-1:0] adc2ImR2;
  158. wire [ResultWidth-1:0] adc2ReR2;
  159. wire measDataRdy;
  160. wire timersClk;
  161. wire [ThresholdWidth-1:0] lowThreshold;
  162. wire [ThresholdWidth-1:0] highThreshold;
  163. wire initRst;
  164. wire gclk;
  165. reg ledReg;
  166. wire [CmdRegWidth-1:0] cmdDataReg;
  167. wire cmdDataVal;
  168. wire [CmdDataRegWith-1:0] ansReg;
  169. wire [HeaderWidth-1:0] ansAddr;
  170. wire [CmdDataRegWith-1:0] gainCtrl;
  171. wire [CmdDataRegWith-1:0] gainLowThreshT1;
  172. wire [CmdDataRegWith-1:0] gainHighThreshT1;
  173. wire [CmdDataRegWith-1:0] gainLowThreshR1;
  174. wire [CmdDataRegWith-1:0] gainHighThreshR1;
  175. wire [CmdDataRegWith-1:0] gainLowThreshT2;
  176. wire [CmdDataRegWith-1:0] gainHighThreshT2;
  177. wire [CmdDataRegWith-1:0] gainLowThreshR2;
  178. wire [CmdDataRegWith-1:0] gainHighThreshR2;
  179. wire [ChNum-1:0] overCtrlChannels;
  180. wire [CmdDataRegWith-1:0] overCtrl = {{CmdDataRegWith-ChNum{1'b0}},overCtrlChannels};
  181. wire [CmdDataRegWith-1:0] overThresh;
  182. wire [CmdDataRegWith-1:0] ditherCtrl;
  183. wire [CmdDataRegWith-1:0] windowGenPhase1;
  184. wire [CmdDataRegWith-1:0] windowGenPhase2;
  185. wire [CmdDataRegWith-1:0] adcCtrl;
  186. wire [CmdDataRegWith-1:0] adcDirectRd0;
  187. wire [CmdDataRegWith-1:0] adcDirectRd1;
  188. wire [CmdDataRegWith-1:0] ifFtwL;
  189. wire [CmdDataRegWith-1:0] ifFtwH;
  190. wire [CmdDataRegWith-1:0] measCtrl;
  191. wire [CmdDataRegWith-1:0] amplitudeMod;
  192. wire [CmdDataRegWith-1:0] dspTrigIn;
  193. wire [CmdDataRegWith-1:0] dspTrigOut;
  194. wire [CmdDataRegWith-1:0] dspTrigIn1;
  195. wire [CmdDataRegWith-1:0] dspTrigIn2;
  196. wire [CmdDataRegWith-1:0] dspTrigOut1;
  197. wire [CmdDataRegWith-1:0] dspTrigOut2;
  198. wire [CmdDataRegWith-1:0] filterCorrCoefL;
  199. wire [CmdDataRegWith-1:0] filterCorrCoefH;
  200. wire trigToDsp0;
  201. wire trigToDsp1;
  202. wire intTrigToExtDev0;
  203. wire intTrigToExtDev1;
  204. wire delayDoneFlag0;
  205. wire delayDoneFlag1;
  206. wire trigEn0;
  207. wire trigEn1;
  208. wire stopMeas;
  209. reg stopMeasR;
  210. wire [NcoWidth-1:0] ncoCos;
  211. wire [NcoWidth-1:0] ncoSin;
  212. wire [CmdDataRegWith-1:0] gainLowThresholdBus [ChNum-1:0];
  213. wire [CmdDataRegWith-1:0] gainHighThresholdBus [ChNum-1:0];
  214. wire [ChNum-1:0] ampEnNewStates;
  215. wire [ChNum-1:0] sensEn;
  216. // wire sensEnAll = (gainCtrl[0])? ((|sensEn)|sensEnReg):1'b0;
  217. reg sensEnReg;
  218. wire sensEnNeg = (sensEnReg&!SensEnM_io);
  219. wire [ChNum-1:0] gainManual;
  220. wire [ChNum-1:0] gainAutoEn;
  221. wire [AdcDataWidth-1:0] adcDataBus [ChNum-1:0];
  222. wire overCtrlR = |overCtrlChannels[ChNum-1:0];
  223. localparam TESTCNTPARAM = 32'd100000000;
  224. reg [31:0] testCnt;
  225. wire refClk;
  226. wire Clk100_o;
  227. wire measWind;
  228. wire measTrig;
  229. wire trigForIntTrig2;
  230. wire intTrig2;
  231. wire measTrigVal;
  232. wire refSeqPulse;
  233. wire refSeq;
  234. //Pmeas wires
  235. //PG1 Regs
  236. wire [CmdDataRegWith-1:0] pG1P1Del;
  237. wire [CmdDataRegWith-1:0] pG1P2Del;
  238. wire [CmdDataRegWith-1:0] pG1P3Del;
  239. wire [CmdDataRegWith-1:0] pG1P123Del;
  240. wire [CmdDataRegWith-1:0] pG1P1Width;
  241. wire [CmdDataRegWith-1:0] pG1P2Width;
  242. wire [CmdDataRegWith-1:0] pG1P3Width;
  243. wire [CmdDataRegWith-1:0] pG1P123Width;
  244. //PG2 Regs
  245. wire [CmdDataRegWith-1:0] pG2P1Del;
  246. wire [CmdDataRegWith-1:0] pG2P2Del;
  247. wire [CmdDataRegWith-1:0] pG2P3Del;
  248. wire [CmdDataRegWith-1:0] pG2P123Del;
  249. wire [CmdDataRegWith-1:0] pG2P1Width;
  250. wire [CmdDataRegWith-1:0] pG2P2Width;
  251. wire [CmdDataRegWith-1:0] pG2P3Width;
  252. wire [CmdDataRegWith-1:0] pG2P123Width;
  253. //PG3 Regs
  254. wire [CmdDataRegWith-1:0] pG3P1Del;
  255. wire [CmdDataRegWith-1:0] pG3P2Del;
  256. wire [CmdDataRegWith-1:0] pG3P3Del;
  257. wire [CmdDataRegWith-1:0] pG3P123Del;
  258. wire [CmdDataRegWith-1:0] pG3P1Width;
  259. wire [CmdDataRegWith-1:0] pG3P2Width;
  260. wire [CmdDataRegWith-1:0] pG3P3Width;
  261. wire [CmdDataRegWith-1:0] pG3P123Width;
  262. //PG4 Regs
  263. wire [CmdDataRegWith-1:0] pG4P1Del;
  264. wire [CmdDataRegWith-1:0] pG4P2Del;
  265. wire [CmdDataRegWith-1:0] pG4P3Del;
  266. wire [CmdDataRegWith-1:0] pG4P123Del;
  267. wire [CmdDataRegWith-1:0] pG4P1Width;
  268. wire [CmdDataRegWith-1:0] pG4P2Width;
  269. wire [CmdDataRegWith-1:0] pG4P3Width;
  270. wire [CmdDataRegWith-1:0] pG4P123Width;
  271. //PG5 Regs
  272. wire [CmdDataRegWith-1:0] pG5P1Del;
  273. wire [CmdDataRegWith-1:0] pG5P2Del;
  274. wire [CmdDataRegWith-1:0] pG5P3Del;
  275. wire [CmdDataRegWith-1:0] pG5P123Del;
  276. wire [CmdDataRegWith-1:0] pG5P1Width;
  277. wire [CmdDataRegWith-1:0] pG5P2Width;
  278. wire [CmdDataRegWith-1:0] pG5P3Width;
  279. wire [CmdDataRegWith-1:0] pG5P123Width;
  280. //PG6 Regs
  281. wire [CmdDataRegWith-1:0] pG6P1Del;
  282. wire [CmdDataRegWith-1:0] pG6P2Del;
  283. wire [CmdDataRegWith-1:0] pG6P3Del;
  284. wire [CmdDataRegWith-1:0] pG6P123Del;
  285. wire [CmdDataRegWith-1:0] pG6P1Width;
  286. wire [CmdDataRegWith-1:0] pG6P2Width;
  287. wire [CmdDataRegWith-1:0] pG6P3Width;
  288. wire [CmdDataRegWith-1:0] pG6P123Width;
  289. //PG7 Regs
  290. wire [CmdDataRegWith-1:0] pG7P1Del;
  291. wire [CmdDataRegWith-1:0] pG7P2Del;
  292. wire [CmdDataRegWith-1:0] pG7P3Del;
  293. wire [CmdDataRegWith-1:0] pG7P123Del;
  294. wire [CmdDataRegWith-1:0] pG7P1Width;
  295. wire [CmdDataRegWith-1:0] pG7P2Width;
  296. wire [CmdDataRegWith-1:0] pG7P3Width;
  297. wire [CmdDataRegWith-1:0] pG7P123Width;
  298. wire [CmdDataRegWith-1:0] measNum1;
  299. wire [CmdDataRegWith-1:0] measNum2;
  300. wire [CmdDataRegWith-1:0] pgMode0;
  301. wire [CmdDataRegWith-1:0] pgMode1;
  302. wire [CmdDataRegWith-1:0] muxCtrl1;
  303. wire [CmdDataRegWith-1:0] muxCtrl2;
  304. wire [CmdDataRegWith-1:0] muxCtrl3;
  305. wire [CmdDataRegWith-1:0] muxCtrl4;
  306. wire [CmdRegWidth-29:0] pgModeArray [PGenNum-1:0];
  307. wire pgPulsePolArray [PGenNum-1:0];
  308. wire pgEnEdgeArray [PGenNum-1:0];
  309. wire [PGenNum-1:0] pgRstArray;
  310. wire [6:0] pGenRst;
  311. wire [6:0] pGenMeasRst;
  312. wire pGenRstDone;
  313. wire [CmdRegWidth-28:0] pgMuxCtrlArray [PGenNum-1:0];
  314. wire [CmdRegWidth-28:0] extTrigMuxCtrlArray [TrigPortsNum-1:0];
  315. wire [TrigPortsNum-1:0] extTrigDirCmd = measCtrl[21:16];
  316. wire [CmdRegWidth-1:0] pgP1DelArray [PGenNum-1:0];
  317. wire [CmdRegWidth-1:0] pgP2DelArray [PGenNum-1:0];
  318. wire [CmdRegWidth-1:0] pgP3DelArray [PGenNum-1:0];
  319. wire [CmdRegWidth-1:0] pgP1WidthArray [PGenNum-1:0];
  320. wire [CmdRegWidth-1:0] pgP2WidthArray [PGenNum-1:0];
  321. wire [CmdRegWidth-1:0] pgP3WidthArray [PGenNum-1:0];
  322. wire [PGenNum-1:0] pulseBus;
  323. wire [PGenNum-1:0] pgMuxedOut;
  324. wire [TrigPortsNum-1:0] extPortsMuxedOut;
  325. wire measEnd;
  326. wire slowMod;
  327. wire fastMod;
  328. wire [3:0] modKeyCtrl;
  329. wire tirgToDspEvent;
  330. wire trigFromDspEvent;
  331. wire oscWind;
  332. wire oscDataRdFlag;
  333. //================================================================================
  334. // assignments
  335. //================================================================================
  336. assign pgModeArray [PGenNum-1] = pgMode0[21:18];
  337. assign pgModeArray [PGenNum-2] = pgMode0[17:15];
  338. assign pgModeArray [PGenNum-3] = pgMode0[14:12];
  339. assign pgModeArray [PGenNum-4] = pgMode0[11:9];
  340. assign pgModeArray [PGenNum-5] = pgMode0[8:6];
  341. assign pgModeArray [PGenNum-6] = pgMode0[5:3];
  342. assign pgModeArray [PGenNum-7] = pgMode0[2:0];
  343. assign pgPulsePolArray [PGenNum-1] = pgMode1[16];
  344. assign pgPulsePolArray [PGenNum-2] = pgMode1[15];
  345. assign pgPulsePolArray [PGenNum-3] = pgMode1[14];
  346. assign pgPulsePolArray [PGenNum-4] = pgMode1[13];
  347. assign pgPulsePolArray [PGenNum-5] = pgMode1[12];
  348. assign pgPulsePolArray [PGenNum-6] = pgMode1[11];
  349. assign pgPulsePolArray [PGenNum-7] = pgMode1[10];
  350. assign pgEnEdgeArray [PGenNum-1] = pgMode1[23];
  351. assign pgEnEdgeArray [PGenNum-2] = pgMode1[22];
  352. assign pgEnEdgeArray [PGenNum-3] = pgMode1[21];
  353. assign pgEnEdgeArray [PGenNum-4] = pgMode1[20];
  354. assign pgEnEdgeArray [PGenNum-5] = pgMode1[19];
  355. assign pgEnEdgeArray [PGenNum-6] = pgMode1[18];
  356. assign pgEnEdgeArray [PGenNum-7] = pgMode1[17];
  357. assign pgRstArray [PGenNum-1] = pgMode1[6];
  358. assign pgRstArray [PGenNum-2] = pgMode1[5];
  359. assign pgRstArray [PGenNum-3] = pgMode1[4];
  360. assign pgRstArray [PGenNum-4] = pgMode1[3];
  361. assign pgRstArray [PGenNum-5] = pgMode1[2];
  362. assign pgRstArray [PGenNum-6] = pgMode1[1];
  363. assign pgRstArray [PGenNum-7] = pgMode1[0];
  364. assign pgMuxCtrlArray [PGenNum-1] = muxCtrl1[19:15];
  365. assign pgMuxCtrlArray [PGenNum-2] = muxCtrl1[14:10];
  366. assign pgMuxCtrlArray [PGenNum-3] = muxCtrl1[9:5];
  367. assign pgMuxCtrlArray [PGenNum-4] = muxCtrl1[4:0];
  368. assign pgMuxCtrlArray [PGenNum-5] = muxCtrl2[19:15];
  369. assign pgMuxCtrlArray [PGenNum-6] = muxCtrl2[14:10];
  370. assign pgMuxCtrlArray [PGenNum-7] = muxCtrl2[9:5];
  371. assign extTrigMuxCtrlArray [TrigPortsNum-1] = muxCtrl4[19:15];
  372. assign extTrigMuxCtrlArray [TrigPortsNum-2] = muxCtrl4[14:10];
  373. assign extTrigMuxCtrlArray [TrigPortsNum-3] = muxCtrl4[9:5];
  374. assign extTrigMuxCtrlArray [TrigPortsNum-4] = muxCtrl4[4:0];
  375. assign extTrigMuxCtrlArray [TrigPortsNum-5] = muxCtrl3[9:5];
  376. assign extTrigMuxCtrlArray [TrigPortsNum-6] = muxCtrl3[4:0];
  377. assign pgP1DelArray[PGenNum-1] = {pG7P123Del[7:0],pG7P1Del};
  378. assign pgP1DelArray[PGenNum-2] = {pG6P123Del[7:0],pG6P1Del};
  379. assign pgP1DelArray[PGenNum-3] = {pG5P123Del[7:0],pG5P1Del};
  380. assign pgP1DelArray[PGenNum-4] = {pG4P123Del[7:0],pG4P1Del};
  381. assign pgP1DelArray[PGenNum-5] = {pG3P123Del[7:0],pG3P1Del};
  382. assign pgP1DelArray[PGenNum-6] = {pG2P123Del[7:0],pG2P1Del};
  383. assign pgP1DelArray[PGenNum-7] = {pG1P123Del[7:0],pG1P1Del};
  384. assign pgP2DelArray[PGenNum-1] = {pG7P123Del[15:8],pG7P2Del};
  385. assign pgP2DelArray[PGenNum-2] = {pG6P123Del[15:8],pG6P2Del};
  386. assign pgP2DelArray[PGenNum-3] = {pG5P123Del[15:8],pG5P2Del};
  387. assign pgP2DelArray[PGenNum-4] = {pG4P123Del[15:8],pG4P2Del};
  388. assign pgP2DelArray[PGenNum-5] = {pG3P123Del[15:8],pG3P2Del};
  389. assign pgP2DelArray[PGenNum-6] = {pG2P123Del[15:8],pG2P2Del};
  390. assign pgP2DelArray[PGenNum-7] = {pG1P123Del[15:8],pG1P2Del};
  391. assign pgP3DelArray[PGenNum-1] = {pG7P123Del[23:16],pG7P3Del};
  392. assign pgP3DelArray[PGenNum-2] = {pG6P123Del[23:16],pG6P3Del};
  393. assign pgP3DelArray[PGenNum-3] = {pG5P123Del[23:16],pG5P3Del};
  394. assign pgP3DelArray[PGenNum-4] = {pG4P123Del[23:16],pG4P3Del};
  395. assign pgP3DelArray[PGenNum-5] = {pG3P123Del[23:16],pG3P3Del};
  396. assign pgP3DelArray[PGenNum-6] = {pG2P123Del[23:16],pG2P3Del};
  397. assign pgP3DelArray[PGenNum-7] = {pG1P123Del[23:16],pG1P3Del};
  398. assign pgP1WidthArray[PGenNum-1] = {pG7P123Width[7:0],pG7P1Width};
  399. assign pgP1WidthArray[PGenNum-2] = {pG6P123Width[7:0],pG6P1Width};
  400. assign pgP1WidthArray[PGenNum-3] = {pG5P123Width[7:0],pG5P1Width};
  401. assign pgP1WidthArray[PGenNum-4] = {pG4P123Width[7:0],pG4P1Width};
  402. assign pgP1WidthArray[PGenNum-5] = {pG3P123Width[7:0],pG3P1Width};
  403. assign pgP1WidthArray[PGenNum-6] = {pG2P123Width[7:0],pG2P1Width};
  404. assign pgP1WidthArray[PGenNum-7] = {pG1P123Width[7:0],pG1P1Width};
  405. assign pgP2WidthArray[PGenNum-1] = {pG7P123Width[15:8],pG7P2Width};
  406. assign pgP2WidthArray[PGenNum-2] = {pG6P123Width[15:8],pG6P2Width};
  407. assign pgP2WidthArray[PGenNum-3] = {pG5P123Width[15:8],pG5P2Width};
  408. assign pgP2WidthArray[PGenNum-4] = {pG4P123Width[15:8],pG4P2Width};
  409. assign pgP2WidthArray[PGenNum-5] = {pG3P123Width[15:8],pG3P2Width};
  410. assign pgP2WidthArray[PGenNum-6] = {pG2P123Width[15:8],pG2P2Width};
  411. assign pgP2WidthArray[PGenNum-7] = {pG1P123Width[15:8],pG1P2Width};
  412. assign pgP3WidthArray[PGenNum-1] = {pG7P123Width[23:16],pG7P3Width};
  413. assign pgP3WidthArray[PGenNum-2] = {pG6P123Width[23:16],pG6P3Width};
  414. assign pgP3WidthArray[PGenNum-3] = {pG5P123Width[23:16],pG5P3Width};
  415. assign pgP3WidthArray[PGenNum-4] = {pG4P123Width[23:16],pG4P3Width};
  416. assign pgP3WidthArray[PGenNum-5] = {pG3P123Width[23:16],pG3P3Width};
  417. assign pgP3WidthArray[PGenNum-6] = {pG2P123Width[23:16],pG2P3Width};
  418. assign pgP3WidthArray[PGenNum-7] = {pG1P123Width[23:16],pG1P3Width};
  419. assign adcDataBus [ChNum-4] = adc1ChT1Data;
  420. assign adcDataBus [ChNum-3] = adc1ChR1Data;
  421. assign adcDataBus [ChNum-2] = adc2ChR2Data;
  422. assign adcDataBus [ChNum-1] = adc2ChT2Data;
  423. assign gainManual [ChNum-4] = gainCtrl[5];
  424. assign gainManual [ChNum-3] = gainCtrl[4];
  425. assign gainManual [ChNum-2] = gainCtrl[6];
  426. assign gainManual [ChNum-1] = gainCtrl[7];
  427. assign gainAutoEn [ChNum-4] = gainCtrl[1];
  428. assign gainAutoEn [ChNum-3] = gainCtrl[0];
  429. assign gainAutoEn [ChNum-2] = gainCtrl[2];
  430. assign gainAutoEn [ChNum-1] = gainCtrl[3];
  431. assign Adc1InitMosi_o = adcInitMosi;
  432. assign Adc2InitMosi_o = adcInitMosi;
  433. assign Adc1InitClk_o = adcInitSck;
  434. assign Adc2InitClk_o = adcInitSck;
  435. assign Adc1InitCs_o = adc0InitCs;
  436. assign Adc2InitCs_o = adc1InitCs;
  437. assign Adc1InitRst_o = adcCtrl[0];
  438. assign Adc2InitRst_o = adcCtrl[0];
  439. // assign Led_o = ledReg &(adc1ImT1|adc1ReT1|adc1ImR1|adc1ReR1|adc2ImT2|adc2ReT2|adc2ImR2|adc2ReR2);
  440. // assign Led_o = ledReg |(|ampEnNewStates);
  441. assign Led_o = ledReg |(|ampEnNewStates);
  442. //assign StartMeas_o = startMeasEvent;
  443. assign EndMeas_o = stopMeas|stopMeasR; //stretching pulse for 1 more clk period
  444. assign gainLowThresholdBus [ChNum-4] = gainLowThreshT1;
  445. assign gainLowThresholdBus [ChNum-3] = gainLowThreshR1;
  446. assign gainLowThresholdBus [ChNum-2] = gainLowThreshR2;
  447. assign gainLowThresholdBus [ChNum-1] = gainLowThreshT2;
  448. assign gainHighThresholdBus [ChNum-4] = gainHighThreshT1;
  449. assign gainHighThresholdBus [ChNum-3] = gainHighThreshR1;
  450. assign gainHighThresholdBus [ChNum-2] = gainHighThreshR2;
  451. assign gainHighThresholdBus [ChNum-1] = gainHighThreshT2;
  452. assign AmpEn_o [3] = ~ampEnNewStates[3];
  453. assign AmpEn_o [2] = ~ampEnNewStates[2];
  454. assign AmpEn_o [1] = ~ampEnNewStates[0];
  455. assign AmpEn_o [0] = ~ampEnNewStates[1];
  456. // assign AmpEn_o [3] = pulseBus[PGenNum-1];
  457. // assign AmpEn_o [2] = pulseBus[PGenNum-1];
  458. // assign AmpEn_o [1] = pulseBus[PGenNum-1];
  459. // assign AmpEn_o [0] = pulseBus[PGenNum-1];
  460. assign Overload_o = overCtrlR/*||OverloadS_i*/;
  461. assign Mod_o = fastMod;
  462. assign PortSel_o = ~modKeyCtrl[1:0];
  463. //assign PortSelDir_o = 4'd15;
  464. assign Trig6to1Dir_o [0] = !measCtrl[16];
  465. assign Trig6to1Dir_o [1] = !measCtrl[17];
  466. assign Trig6to1Dir_o [2] = !measCtrl[18];
  467. assign Trig6to1Dir_o [3] = !measCtrl[19];
  468. assign Trig6to1Dir_o [4] = !measCtrl[20];
  469. assign Trig6to1Dir_o [5] = !measCtrl[21];
  470. assign Trig6to1_io [0] = (measCtrl[16]) ? 1'bz:extPortsMuxedOut[0]; //1 - in, 0 - out
  471. assign Trig6to1_io [1] = (measCtrl[17]) ? 1'bz:extPortsMuxedOut[1]; //1 - in, 0 - out
  472. assign Trig6to1_io [2] = (measCtrl[18]) ? 1'bz:extPortsMuxedOut[2]; //1 - in, 0 - out
  473. assign Trig6to1_io [3] = (measCtrl[19]) ? 1'bz:extPortsMuxedOut[3]; //1 - in, 0 - out
  474. assign Trig6to1_io [4] = (measCtrl[20]) ? 1'bz:extPortsMuxedOut[4]; //1 - in, 0 - out
  475. assign Trig6to1_io [5] = (measCtrl[21]) ? 1'bz:extPortsMuxedOut[5]; //1 - in, 0 - out
  476. //assign SensEnM_io = (|sensEn)? 1'b0:1'bz;
  477. //assign StartMeasDsp_o = StartMeas_i;
  478. //================================================================================
  479. // CODING
  480. //================================================================================
  481. integer m;
  482. always @(posedge gclk) begin //stretching pulse
  483. stopMeasR <= stopMeas;
  484. end
  485. always @(posedge gclk) begin //stretching pulse
  486. sensEnReg <= SensEnM_io;
  487. end
  488. //--------------------------------------------------------------------------------
  489. // Data Receiving Interface
  490. //--------------------------------------------------------------------------------
  491. /*IBUF iob_50m_in
  492. (
  493. .I (Clk_i),
  494. .O (gclk)
  495. );*/
  496. IBUFDS
  497. #(
  498. .DIFF_TERM ("FALSE")
  499. )
  500. iobdds_50m_in
  501. (
  502. .I (ClkP_i),
  503. .IB (ClkN_i),
  504. .O (gclk)
  505. );
  506. Clk200Gen Clk200Gen
  507. (
  508. .Clk_i (gclk),
  509. .Rst_i (initRst),
  510. .Clk200_o (refClk),
  511. .Clk10Timers_o (TimersClk_o),
  512. .Clk100_o (Clk100_o),
  513. .Locked_o (Locked200)
  514. );
  515. AdcDataInterface
  516. #(
  517. .AdcDataWidth (AdcDataWidth),
  518. .ChNum (ChNum),
  519. .Ratio (Ratio)
  520. )
  521. AdcDataInterface
  522. (
  523. .Clk_i (gclk),
  524. .RefClk_i (refClk),
  525. .Locked_i (Locked200),
  526. .Rst_i (initRst),
  527. .Adc1FclkP_i (Adc1FclkP_i),
  528. .Adc1FclkN_i (Adc1FclkN_i),
  529. .testAdc (AdcData_i),
  530. .Adc1DataDa0P_i (Adc1DataDa0P_i),
  531. .Adc1DataDa0N_i (Adc1DataDa0N_i),
  532. .Adc1DataDa1P_i (Adc1DataDa1P_i),
  533. .Adc1DataDa1N_i (Adc1DataDa1N_i),
  534. .Adc1DataDb0P_i (Adc1DataDb0P_i),
  535. .Adc1DataDb0N_i (Adc1DataDb0N_i),
  536. .Adc1DataDb1P_i (Adc1DataDb1P_i),
  537. .Adc1DataDb1N_i (Adc1DataDb1N_i),
  538. .Adc2FclkP_i (Adc2FclkP_i),
  539. .Adc2FclkN_i (Adc2FclkN_i),
  540. .Adc2DataDa0P_i (Adc2DataDa0P_i),
  541. .Adc2DataDa0N_i (Adc2DataDa0N_i),
  542. .Adc2DataDa1P_i (Adc2DataDa1P_i),
  543. .Adc2DataDa1N_i (Adc2DataDa1N_i),
  544. .Adc2DataDb0P_i (Adc2DataDb0P_i),
  545. .Adc2DataDb0N_i (Adc2DataDb0N_i),
  546. .Adc2DataDb1P_i (Adc2DataDb1P_i),
  547. .Adc2DataDb1N_i (Adc2DataDb1N_i),
  548. .Adc1ChT1Data_o (adc1ChT1Data),
  549. .Adc1ChR1Data_o (adc1ChR1Data),
  550. .Adc2ChR2Data_o (adc2ChR2Data),
  551. .Adc2ChT2Data_o (adc2ChT2Data)
  552. );
  553. //--------------------------------------------------------------------------------
  554. // External DSP Interface
  555. //--------------------------------------------------------------------------------
  556. DspInterface
  557. #(
  558. .ODataWidth (LpDataWidth),
  559. .ResultWidth (ResultWidth),
  560. .ChNum (ChNum),
  561. .CmdRegWidth (CmdRegWidth),
  562. .CmdDataRegWith (CmdDataRegWith),
  563. .HeaderWidth (HeaderWidth),
  564. .DataCntWidth (DataCntWidth)
  565. )
  566. ExternalDspInterface
  567. (
  568. .Clk_i (gclk),
  569. .Rst_i (initRst),
  570. .OscWind_i (oscWind),
  571. .MeasNum_i ({measNum2[7:0],measNum1}),
  572. .Mosi_i (Mosi_i),
  573. .Sck_i (Sck_i),
  574. .Ss_i (Ss_i),
  575. .Mode_i (measCtrl[0]),
  576. .PortSel_i (measCtrl[23:22]),
  577. .DecimFactor_i (measCtrl[3:1]),
  578. .IfFtwL_i (ifFtwL),
  579. .IfFtwH_i (ifFtwH),
  580. .OscDataRdFlag_o (oscDataRdFlag),
  581. .Adc1ChT1Data_i (adc1ChT1Data),
  582. .Adc1ChR1Data_i (adc1ChR1Data),
  583. .Adc2ChR2Data_i (adc2ChT2Data),
  584. .Adc2ChT2Data_i (adc2ChR2Data),
  585. // .Adc1ChT1Data_i (AdcData_i),
  586. // .Adc1ChR1Data_i (AdcData_i),
  587. // .Adc2ChR2Data_i (AdcData_i),
  588. // .Adc2ChT2Data_i (AdcData_i),
  589. // .Adc1ChT1Data_i (14'h1fff),
  590. // .Adc1ChR1Data_i (14'h257f),
  591. // .Adc2ChR2Data_i (14'h1001),
  592. // .Adc2ChT2Data_i (14'h25f8),
  593. .Mosi_o (adcInitMosi),
  594. .Sck_o (adcInitSck),
  595. .Ss0_o (adc0InitCs),
  596. .Ss1_o (adc1InitCs),
  597. .Miso_i (Miso_i),
  598. .Miso_o (Miso_o),
  599. .CmdDataReg_o (cmdDataReg),
  600. .CmdDataVal_o (cmdDataVal),
  601. .AnsReg_i (ansReg),
  602. .AnsAddr_o (ansAddr),
  603. .LpOutFs_o (LpOutFs_o),
  604. .LpOutClk_o (LpOutClk_o),
  605. .LpOutData_o (LpOutData_o),
  606. .Adc1T1ImResult_i (adc1ImT1),
  607. .Adc1T1ReResult_i (adc1ReT1),
  608. .Adc1R1ImResult_i (adc1ImR1),
  609. .Adc1R1ReResult_i (adc1ReR1),
  610. .Adc2R2ImResult_i (adc2ImR2),
  611. .Adc2R2ReResult_i (adc2ReR2),
  612. .Adc2T2ImResult_i (adc2ImT2),
  613. .Adc2T2ReResult_i (adc2ReT2),
  614. .ServiseRegData_i (ampEnNewStates),
  615. .LpOutStart_i (measDataRdy)
  616. );
  617. //--------------------------------------------------------------------------------
  618. // Internal DSP calculation module
  619. //--------------------------------------------------------------------------------
  620. always @(posedge gclk) begin
  621. if (!initRst) begin
  622. startMeasSync <= StartMeas_i;
  623. end else begin
  624. startMeasSync <= 1'b0;
  625. end
  626. end
  627. NcoRstGen NcoRstGenInst
  628. (
  629. .Clk_i (gclk),
  630. .Rst_i (initRst),
  631. .NcoPhInc_i ({ifFtwH[0+:PhIncWidth-CmdDataRegWith],ifFtwL}),
  632. .StartMeasEvent_i (startMeasEvent),
  633. .NcoRst_o (ncoRst),
  634. .StartMeasEvent_o (intTrig1)
  635. );
  636. InternalDsp
  637. #(
  638. .AdcDataWidth (AdcDataWidth),
  639. .ChNum (ChNum),
  640. .ResultWidth (ResultWidth),
  641. .CmdDataRegWith (CmdDataRegWith)
  642. )
  643. InternalDsp
  644. (
  645. .Clk_i (gclk),
  646. .WindCalcClk_i (Clk100_o),
  647. .Rst_i (initRst),
  648. .NcoRst_i (ncoRst),
  649. .OscWind_o (oscWind),
  650. .Adc1ChT1Data_i (adc1ChT1Data), //T1
  651. .Adc1ChR1Data_i (adc1ChR1Data), //R1
  652. .Adc2ChR2Data_i (adc2ChR2Data), //R2
  653. .Adc2ChT2Data_i (adc2ChT2Data), //T2
  654. // .Adc1ChT1Data_i (AdcData_i), //T1
  655. // .Adc1ChR1Data_i (AdcData_i), //R1
  656. // .Adc2ChR2Data_i (AdcData_i), //R2
  657. // .Adc2ChT2Data_i (AdcData_i), //T2
  658. .GatingPulse_i (gatingPulse),
  659. .StartMeas_i (measStart),
  660. .StartMeasDsp_i (startMeasSync),
  661. .OscDataRdFlag_i (oscDataRdFlag),
  662. .MeasNum_i ({measNum2[7:0],measNum1}),
  663. .MeasCtrl_i (measCtrl),
  664. .FilterCorrCoefH_i (filterCorrCoefH),
  665. .FilterCorrCoefL_i (filterCorrCoefL),
  666. .CalModeEn_i (adcCtrl[1]),
  667. .CalModeDone_o (calDone),
  668. .IfFtwL_i (ifFtwL),
  669. .IfFtwH_i (ifFtwH),
  670. .NcoSin_o (ncoSin),
  671. .NcoCos_o (ncoCos),
  672. .Adc1ImT1Data_o (adc1ImT1),
  673. .Adc1ReT1Data_o (adc1ReT1),
  674. .Adc1ImR1Data_o (adc1ImR1),
  675. .Adc1ReR1Data_o (adc1ReR1),
  676. .Adc2ImR2Data_o (adc2ImR2),
  677. .Adc2ReR2Data_o (adc2ReR2),
  678. .Adc2ImT2Data_o (adc2ImT2),
  679. .Adc2ReT2Data_o (adc2ReT2),
  680. .MeasDataRdy_o (measDataRdy),
  681. .EndMeas_o (stopMeas),
  682. .MeasWind_o (measWind),
  683. .MeasEnd_o (measEnd)
  684. );
  685. //--------------------------------------------------------------------------------
  686. // Reg Map With Config Registers
  687. //--------------------------------------------------------------------------------
  688. RegMap
  689. #(
  690. .CmdRegWidth (CmdRegWidth),
  691. .HeaderWidth (HeaderWidth),
  692. .CmdDataRegWith (CmdDataRegWith)
  693. )
  694. RegMapInst
  695. (
  696. .Clk_i (gclk),
  697. .Rst_i (initRst),
  698. .PGenRstDone_i (pGenRstDone),
  699. .Val_i (cmdDataVal),
  700. .CalDone_i (calDone),
  701. .Data_i (cmdDataReg),
  702. .AnsAddr_i (ansAddr),
  703. .AnsDataReg_o (ansReg),
  704. .OverCtrlReg_i (overCtrl),
  705. .GainCtrlReg_o (gainCtrl),
  706. .GainLowThreshT1Reg_o (gainLowThreshT1),
  707. .GainHighThreshT1Reg_o (gainHighThreshT1),
  708. .GainLowThreshR1Reg_o (gainLowThreshR1),
  709. .GainHighThreshR1Reg_o (gainHighThreshR1),
  710. .GainLowThreshT2Reg_o (gainLowThreshT2),
  711. .GainHighThreshT2Reg_o (gainHighThreshT2),
  712. .GainLowThreshR2Reg_o (gainLowThreshR2),
  713. .GainHighThreshR2Reg_o (gainHighThreshR2),
  714. .OverThreshReg_o (overThresh),
  715. .DitherCtrlReg_o (ditherCtrl),
  716. .MeasCtrlReg_o (measCtrl),
  717. .AdcCtrlReg_o (adcCtrl),
  718. .AdcDirectRd0Reg_o (adcDirectRd0),
  719. .AdcDirectRd1Reg_o (adcDirectRd1),
  720. .IfFtwRegL_o (ifFtwL),
  721. .IfFtwRegH_o (ifFtwH),
  722. .FilterCorrCoefRegL_o (filterCorrCoefL),
  723. .FilterCorrCoefRegH_o (filterCorrCoefH),
  724. .DspTrigInReg_o (dspTrigIn),
  725. .DspTrigOutReg_o (dspTrigOut),
  726. .DspTrigIn1Reg_o (dspTrigIn1),
  727. .DspTrigIn2Reg_o (dspTrigIn2),
  728. .DspTrigOut1Reg_o (dspTrigOut1),
  729. .DspTrigOut2Reg_o (dspTrigOut2),
  730. .PG1P1DelayReg_o (pG1P1Del),
  731. .PG1P2DelayReg_o (pG1P2Del),
  732. .PG1P3DelayReg_o (pG1P3Del),
  733. .PG1P123DelayReg_o (pG1P123Del),
  734. .PG1P1WidthReg_o (pG1P1Width),
  735. .PG1P2WidthReg_o (pG1P2Width),
  736. .PG1P3WidthReg_o (pG1P3Width),
  737. .PG1P123WidthReg_o (pG1P123Width),
  738. //PG2 Regs
  739. .PG2P1DelayReg_o (pG2P1Del),
  740. .PG2P2DelayReg_o (pG2P2Del),
  741. .PG2P3DelayReg_o (pG2P3Del),
  742. .PG2P123DelayReg_o (pG2P123Del),
  743. .PG2P1WidthReg_o (pG2P1Width),
  744. .PG2P2WidthReg_o (pG2P2Width),
  745. .PG2P3WidthReg_o (pG2P3Width),
  746. .PG2P123WidthReg_o (pG2P123Width),
  747. //PG3 Regs
  748. .PG3P1DelayReg_o (pG3P1Del),
  749. .PG3P2DelayReg_o (pG3P2Del),
  750. .PG3P3DelayReg_o (pG3P3Del),
  751. .PG3P123DelayReg_o (pG3P123Del),
  752. .PG3P1WidthReg_o (pG3P1Width),
  753. .PG3P2WidthReg_o (pG3P2Width),
  754. .PG3P3WidthReg_o (pG3P3Width),
  755. .PG3P123WidthReg_o (pG3P123Width),
  756. //PG4 Regs
  757. .PG4P1DelayReg_o (pG4P1Del),
  758. .PG4P2DelayReg_o (pG4P2Del),
  759. .PG4P3DelayReg_o (pG4P3Del),
  760. .PG4P123DelayReg_o (pG4P123Del),
  761. .PG4P1WidthReg_o (pG4P1Width),
  762. .PG4P2WidthReg_o (pG4P2Width),
  763. .PG4P3WidthReg_o (pG4P3Width),
  764. .PG4P123WidthReg_o (pG4P123Width),
  765. //PG5 Regs
  766. .PG5P1DelayReg_o (pG5P1Del),
  767. .PG5P2DelayReg_o (pG5P2Del),
  768. .PG5P3DelayReg_o (pG5P3Del),
  769. .PG5P123DelayReg_o (pG5P123Del),
  770. .PG5P1WidthReg_o (pG5P1Width),
  771. .PG5P2WidthReg_o (pG5P2Width),
  772. .PG5P3WidthReg_o (pG5P3Width),
  773. .PG5P123WidthReg_o (pG5P123Width),
  774. //PG6 Regs
  775. .PG6P1DelayReg_o (pG6P1Del),
  776. .PG6P2DelayReg_o (pG6P2Del),
  777. .PG6P3DelayReg_o (pG6P3Del),
  778. .PG6P123DelayReg_o (pG6P123Del),
  779. .PG6P1WidthReg_o (pG6P1Width),
  780. .PG6P2WidthReg_o (pG6P2Width),
  781. .PG6P3WidthReg_o (pG6P3Width),
  782. .PG6P123WidthReg_o (pG6P123Width),
  783. //PG7 Regs
  784. .PG7P1DelayReg_o (pG7P1Del),
  785. .PG7P2DelayReg_o (pG7P2Del),
  786. .PG7P3DelayReg_o (pG7P3Del),
  787. .PG7P123DelayReg_o (pG7P123Del),
  788. .PG7P1WidthReg_o (pG7P1Width),
  789. .PG7P2WidthReg_o (pG7P2Width),
  790. .PG7P3WidthReg_o (pG7P3Width),
  791. .PG7P123WidthReg_o (pG7P123Width),
  792. .MeasNum1Reg_o (measNum1),
  793. .MeasNum2Reg_o (measNum2),
  794. .PgMode0Reg_o (pgMode0),
  795. .PgMode1Reg_o (pgMode1),
  796. .MuxCtrl1Reg_o (muxCtrl1),
  797. .MuxCtrl2Reg_o (muxCtrl2),
  798. .MuxCtrl3Reg_o (muxCtrl3),
  799. .MuxCtrl4Reg_o (muxCtrl4)
  800. );
  801. //--------------------------------------------------------------------------------
  802. // Global FPGA reset generator
  803. //--------------------------------------------------------------------------------
  804. InitRst FpgaInitRst
  805. (
  806. .clk_i (gclk),
  807. .signal_o (initRst)
  808. );
  809. //--------------------------------------------------------------------------------
  810. // ADC overload detection
  811. //--------------------------------------------------------------------------------
  812. genvar i;
  813. generate
  814. for (i=0; i<ChNum; i=i+1) begin :OverControl
  815. OverloadDetect
  816. #(
  817. .ThresholdWidth (ThresholdWidth),
  818. .AdcDataWidth (AdcDataWidth),
  819. .MeasPeriod (MeasPeriod)
  820. )
  821. OverloadDetect
  822. (
  823. .Rst_i (initRst),
  824. .Clk_i (gclk),
  825. .AdcData_i (adcDataBus[i]),
  826. .OverThreshold_i (overThresh),
  827. .Overload_o (overCtrlChannels[i])
  828. );
  829. end
  830. endgenerate
  831. //--------------------------------------------------------------------------------
  832. // Gain Control module
  833. //--------------------------------------------------------------------------------
  834. genvar g;
  835. generate
  836. for (g=0; g<ChNum; g=g+1) begin :GainControl
  837. GainControlWrapper
  838. #(
  839. .AdcDataWidth (AdcDataWidth),
  840. .ThresholdWidth (ThresholdWidth),
  841. .PhIncWidth (PhIncWidth),
  842. .IfNcoOutWidth (NcoWidth),
  843. .MeasPeriod (MeasPeriod)
  844. )
  845. GainControlModule
  846. (
  847. .Rst_i (initRst),
  848. .Clk_i (gclk),
  849. .StartMeas_i (sampleStrobe),
  850. .NcoSin_i (ncoSin),
  851. .NcoCos_i (ncoCos),
  852. .AdcData_i (adcDataBus[g]),
  853. // .AdcData_i (AdcData_i),
  854. .GainLowThreshold_i (gainLowThresholdBus[g]),
  855. .GainHighThreshold_i(gainHighThresholdBus[g]),
  856. .GainAutoEn_i (gainAutoEn[g]),
  857. .GainManualState_i (gainManual[g]),
  858. .AmpEnNewState_o (ampEnNewStates[g]),
  859. .SensEn_o (sensEn[g]),
  860. .MeasStart_o (measStartBus[g])
  861. );
  862. end
  863. endgenerate
  864. always @(*) begin
  865. if (!initRst) begin
  866. case(gainAutoEn)
  867. 4'd0: begin
  868. measStart = &measStartBus;
  869. end
  870. 4'd1: begin
  871. measStart = measStartBus[0];
  872. end
  873. 4'd2: begin
  874. measStart = measStartBus[1];
  875. end
  876. 4'd3: begin
  877. measStart = measStartBus[0]&measStartBus[1];
  878. end
  879. 4'd4: begin
  880. measStart = &measStartBus[2];
  881. end
  882. 4'd5: begin
  883. measStart = measStartBus[0]&measStartBus[2];
  884. end
  885. 4'd6: begin
  886. measStart = measStartBus[1]&measStartBus[2];
  887. end
  888. 4'd7: begin
  889. measStart = measStartBus[0]&measStartBus[1]&measStartBus[2];
  890. end
  891. 4'd8: begin
  892. measStart = measStartBus[3];
  893. end
  894. 4'd9: begin
  895. measStart = measStartBus[0]&measStartBus[3];
  896. end
  897. 4'd10: begin
  898. measStart = measStartBus[1]&measStartBus[3];
  899. end
  900. 4'd11: begin
  901. measStart = measStartBus[0]&measStartBus[1]&measStartBus[3];
  902. end
  903. 4'd12: begin
  904. measStart = measStartBus[2]&measStartBus[3];
  905. end
  906. 4'd13: begin
  907. measStart = measStartBus[0]&measStartBus[2]&measStartBus[3];
  908. end
  909. 4'd14: begin
  910. measStart = measStartBus[1]&measStartBus[2]&measStartBus[3];
  911. end
  912. 4'd15: begin
  913. measStart = &measStartBus;
  914. end
  915. endcase
  916. end
  917. end
  918. //--------------------------------------------------------------------------------
  919. // Trig TO/FROM DSP
  920. //--------------------------------------------------------------------------------
  921. Mux
  922. #(
  923. .CmdRegWidth (CmdRegWidth),
  924. .PGenNum (PGenNum),
  925. .TrigPortsNum (TrigPortsNum)
  926. )
  927. DspTrigMux
  928. (
  929. .Rst_i (initRst),
  930. .MuxCtrl_i (measNum2[13:9]),
  931. .DspTrigOut_i (1'b0),
  932. .DspStartCmd_i (1'b0),
  933. .IntTrig_i (1'b0),
  934. .IntTrig2_i (1'b0),
  935. .PulseBus_i (7'd0),
  936. .ExtPortsBus_i (Trig6to1_io),
  937. .MuxOut_o (DspTrigIn_o)
  938. );
  939. //--------------------------------------------------------------------------------
  940. // Dither Gen
  941. //--------------------------------------------------------------------------------
  942. DitherGenv2 DitherGenInst
  943. (
  944. .Rst_i (initRst),
  945. .Clk_i (gclk),
  946. .DitherCmd_i (ditherCtrl),
  947. .DitherCtrlT2R2_o (DitherCtrlCh1_o),
  948. .DitherCtrlT1R1_o (DitherCtrlCh2_o)
  949. );
  950. //--------------------------------------------------------------------------------
  951. // MeasTrigMux
  952. //--------------------------------------------------------------------------------
  953. Mux
  954. #(
  955. .CmdRegWidth (CmdRegWidth),
  956. .PGenNum (PGenNum),
  957. .TrigPortsNum (TrigPortsNum)
  958. )
  959. MeasTrigMux
  960. (
  961. .Rst_i (initRst),
  962. .MuxCtrl_i (muxCtrl3[14:10]),
  963. .DspTrigOut_i (1'b0),
  964. .DspStartCmd_i (startMeasSync),
  965. .IntTrig_i (1'b0),
  966. .IntTrig2_i (1'b0),
  967. .PulseBus_i (7'b0),
  968. .ExtPortsBus_i (Trig6to1_io),
  969. .MuxOut_o (measTrig)
  970. );
  971. //--------------------------------------------------------------------------------
  972. // MeasStartEventGen
  973. //--------------------------------------------------------------------------------
  974. MeasStartEventGen MeasStartEventGenInst
  975. (
  976. .Rst_i (initRst),
  977. .Clk_i (gclk),
  978. .MeasTrig_i (measTrig),
  979. .StartMeasDsp_i (startMeasSync),
  980. .StartMeasEvent_o (startMeasEvent),
  981. .InitTrig_o ()
  982. );
  983. //--------------------------------------------------------------------------------
  984. // IntTrig2 Mux
  985. //--------------------------------------------------------------------------------
  986. TrigInt2Mux
  987. #(
  988. .PGenNum (PGenNum)
  989. )
  990. InitTrig2Mux
  991. (
  992. .Rst_i (initRst),
  993. .MuxCtrl_i (muxCtrl3[23:20]),
  994. .PulseBus_i (pulseBus),
  995. .MuxOut_o (trigForIntTrig2)
  996. );
  997. //--------------------------------------------------------------------------------
  998. // MeasStartEventGen
  999. //--------------------------------------------------------------------------------
  1000. MeasStartEventGen IntTrig2GenInst
  1001. (
  1002. .Rst_i (initRst),
  1003. .Clk_i (gclk),
  1004. .MeasTrig_i (trigForIntTrig2),
  1005. // .StartMeasDsp_i (startMeasEvent),
  1006. .StartMeasDsp_i (intTrig1),
  1007. .StartMeasEvent_o (),
  1008. .InitTrig_o (intTrig2)
  1009. );
  1010. //--------------------------------------------------------------------------------
  1011. // Pulse Meas modules
  1012. //--------------------------------------------------------------------------------
  1013. //--------------------------------------------------------------------------------
  1014. // Pulse Gens
  1015. //--------------------------------------------------------------------------------
  1016. PGenRstGenerator PGenRstGen
  1017. (
  1018. .Rst_i (initRst),
  1019. .Clk_i (gclk),
  1020. .PGenRst_i (pgRstArray),
  1021. .PGenRst_o (pGenRst),
  1022. .RstDone_o (pGenRstDone)
  1023. );
  1024. genvar j;
  1025. generate
  1026. for (j=0; j<PGenNum; j=j+1) begin :PGen
  1027. Mux
  1028. #(
  1029. .CmdRegWidth (CmdRegWidth),
  1030. .PGenNum (PGenNum),
  1031. .TrigPortsNum (TrigPortsNum)
  1032. )
  1033. PulseGenMux
  1034. (
  1035. .Rst_i (initRst),
  1036. .MuxCtrl_i (pgMuxCtrlArray[j]),
  1037. .DspTrigOut_i (1'b0),
  1038. .DspStartCmd_i (1'b0),
  1039. .IntTrig_i (intTrig1),
  1040. .IntTrig2_i (intTrig2),
  1041. .PulseBus_i (pulseBus),
  1042. .ExtPortsBus_i (Trig6to1_io),
  1043. .MuxOut_o (pgMuxedOut[j])
  1044. );
  1045. PulseGen
  1046. #(
  1047. .CmdRegWidth (CmdRegWidth)
  1048. )
  1049. PulseGenerator
  1050. (
  1051. .Rst_i (initRst|pGenRst[j]|pGenMeasRst[j]),
  1052. .Clk_i (gclk),
  1053. .EnPulse_i (pgMuxedOut[j]),
  1054. .PulsePol_i (pgPulsePolArray[j]),
  1055. .EnEdge_i (pgEnEdgeArray[j]),
  1056. .Mode_i (pgModeArray[j]),
  1057. .P1Del_i (pgP1DelArray[j]),
  1058. .P2Del_i (pgP2DelArray[j]),
  1059. .P3Del_i (pgP3DelArray[j]),
  1060. .P1Width_i (pgP1WidthArray[j]),
  1061. .P2Width_i (pgP2WidthArray[j]),
  1062. .P3Width_i (pgP3WidthArray[j]),
  1063. .Pulse_o (pulseBus[j])
  1064. );
  1065. end
  1066. endgenerate
  1067. //--------------------------------------------------------------------------------
  1068. // External ports mux
  1069. //--------------------------------------------------------------------------------
  1070. genvar l;
  1071. generate
  1072. for (l=0; l<TrigPortsNum; l=l+1) begin :ExtPortsMux
  1073. Mux
  1074. #(
  1075. .CmdRegWidth (CmdRegWidth),
  1076. .PGenNum (PGenNum),
  1077. .TrigPortsNum (TrigPortsNum)
  1078. )
  1079. ExtPortsMux
  1080. (
  1081. .Rst_i (initRst),
  1082. .MuxCtrl_i (extTrigMuxCtrlArray[l]),
  1083. .DspTrigOut_i (DspTrigOut_i),
  1084. .DspStartCmd_i (startMeasSync), //tut nichego nebilo 14.02.2023 zamknul suda startMeasSync
  1085. .IntTrig_i (intTrig1),
  1086. .IntTrig2_i (intTrig2),
  1087. .PulseBus_i (pulseBus),
  1088. .ExtPortsBus_i (Trig6to1_io),
  1089. .MuxOut_o (extPortsMuxedOut[l])
  1090. );
  1091. end
  1092. endgenerate
  1093. //--------------------------------------------------------------------------------
  1094. // SlowMod Out Muxer
  1095. //--------------------------------------------------------------------------------
  1096. Mux
  1097. #(
  1098. .CmdRegWidth (CmdRegWidth),
  1099. .PGenNum (PGenNum),
  1100. .TrigPortsNum (TrigPortsNum)
  1101. )
  1102. SlowModMux
  1103. (
  1104. .Rst_i (initRst),
  1105. .MuxCtrl_i (measNum2[18:14]),
  1106. .DspTrigOut_i (1'b0),
  1107. .DspStartCmd_i (1'b0),
  1108. .IntTrig_i (1'b0),
  1109. .IntTrig2_i (1'b0),
  1110. .PulseBus_i (pulseBus),
  1111. .ExtPortsBus_i (Trig6to1_io),
  1112. .MuxOut_o (slowMod)
  1113. );
  1114. //--------------------------------------------------------------------------------
  1115. // FastMod Out Muxer
  1116. //--------------------------------------------------------------------------------
  1117. Mux
  1118. #(
  1119. .CmdRegWidth (CmdRegWidth),
  1120. .PGenNum (PGenNum),
  1121. .TrigPortsNum (TrigPortsNum)
  1122. )
  1123. FastModMux
  1124. (
  1125. .Rst_i (initRst),
  1126. .MuxCtrl_i (measNum2[23:19]),
  1127. .DspTrigOut_i (1'b0),
  1128. .DspStartCmd_i (1'b0),
  1129. .IntTrig_i (1'b0),
  1130. .IntTrig2_i (1'b0),
  1131. .PulseBus_i (pulseBus),
  1132. .ExtPortsBus_i (Trig6to1_io),
  1133. .MuxOut_o (fastMod)
  1134. );
  1135. //--------------------------------------------------------------------------------
  1136. // Software Gating
  1137. //--------------------------------------------------------------------------------
  1138. Mux
  1139. #(
  1140. .CmdRegWidth (CmdRegWidth),
  1141. .PGenNum (PGenNum),
  1142. .TrigPortsNum (TrigPortsNum)
  1143. )
  1144. GatingMux
  1145. (
  1146. .Rst_i (initRst),
  1147. .MuxCtrl_i (muxCtrl3[19:15]),
  1148. .DspTrigOut_i (1'b0),
  1149. .DspStartCmd_i (1'b0),
  1150. .IntTrig_i (1'b0),
  1151. .IntTrig2_i (1'b0),
  1152. .PulseBus_i (pulseBus),
  1153. .ExtPortsBus_i (Trig6to1_io),
  1154. .MuxOut_o (gatingPulse)
  1155. );
  1156. //--------------------------------------------------------------------------------
  1157. // SampleStrobeMux
  1158. //--------------------------------------------------------------------------------
  1159. Mux
  1160. #(
  1161. .CmdRegWidth (CmdRegWidth),
  1162. .PGenNum (PGenNum),
  1163. .TrigPortsNum (TrigPortsNum)
  1164. )
  1165. SampleStrobeMux
  1166. (
  1167. .Rst_i (initRst),
  1168. .MuxCtrl_i (muxCtrl2[4:0]),
  1169. .DspTrigOut_i (1'b0),
  1170. .DspStartCmd_i (1'b0),
  1171. .IntTrig_i (1'b0),
  1172. .IntTrig2_i (1'b0),
  1173. .PulseBus_i (pulseBus),
  1174. .ExtPortsBus_i (Trig6to1_io),
  1175. .MuxOut_o (sampleStrobe)
  1176. );
  1177. //--------------------------------------------------------------------------------
  1178. // SampleStrobeGenRstDemux
  1179. //--------------------------------------------------------------------------------
  1180. SampleStrobeGenRstDemux
  1181. #(
  1182. .CmdRegWidth (CmdRegWidth),
  1183. .PGenNum (PGenNum),
  1184. .TrigPortsNum (TrigPortsNum)
  1185. )
  1186. SampleStrobeGenRstDemux
  1187. (
  1188. .Rst_i (initRst),
  1189. .MuxCtrl_i (muxCtrl2[4:0]),
  1190. .GenRst_i (stopMeas),
  1191. .RstDemuxOut_o (pGenMeasRst)
  1192. );
  1193. //--------------------------------------------------------------------------------
  1194. // Active Port Selection
  1195. //--------------------------------------------------------------------------------
  1196. ActivePortSelector ActivePortSel
  1197. (
  1198. .Rst_i (initRst),
  1199. .Mod_i (slowMod),
  1200. .Ctrl_i (measCtrl[7:4]),
  1201. .Ctrl_o (modKeyCtrl)
  1202. );
  1203. //--------------------------------------------------------------------------------
  1204. // Debug led
  1205. //--------------------------------------------------------------------------------
  1206. always @(posedge gclk) begin
  1207. if (initRst) begin
  1208. testCnt <= 32'b0;
  1209. end else if (testCnt != TESTCNTPARAM) begin
  1210. testCnt <= testCnt+1;
  1211. end else begin
  1212. testCnt <= 32'd0;
  1213. end
  1214. end
  1215. always @(posedge gclk) begin
  1216. if (initRst) begin
  1217. ledReg <= 1'b0;
  1218. end else if ((testCnt == TESTCNTPARAM-1)) begin
  1219. ledReg <= ~ledReg;
  1220. end
  1221. end
  1222. endmodule