InternalDsp.v 10 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394
  1. `timescale 1ns / 1ps
  2. (* keep_hierarchy = "yes" *)
  3. //////////////////////////////////////////////////////////////////////////////////
  4. // Company:
  5. // Engineer:
  6. //
  7. // Create Date: 18:00:25 07/10/2019
  8. // Design Name:
  9. // Module Name: internal_dsp
  10. // Project Name:
  11. // Target Devices:
  12. // Tool versions:
  13. // Description:
  14. //
  15. // Dependencies:
  16. //
  17. // Revision:
  18. // Revision 0.01 - File Created
  19. // Additional Comments:
  20. //
  21. //////////////////////////////////////////////////////////////////////////////////
  22. module InternalDsp
  23. #(
  24. parameter AdcDataWidth = 14,
  25. parameter WindWidth = 18,
  26. parameter WindNcoPhIncWidth = 32,
  27. parameter NcoWidth = 18,
  28. parameter ChNum = 4,
  29. parameter ResultWidth = 32,
  30. parameter WinTypeWidth = 3,
  31. parameter BandCmdWidth = 8,
  32. parameter WindPNumWidth = 32,
  33. parameter WindNormCoefWidth = 32,
  34. parameter WindCorrCoefWidth = 32,
  35. parameter CmdDataRegWith = 24,
  36. parameter IntermediateWidth = 18,
  37. parameter CorrAdcDataWidth = 20,
  38. parameter AccWidth = 80
  39. )
  40. (
  41. input wire Clk_i,
  42. input wire WindCalcClk_i,
  43. input wire Rst_i,
  44. input wire NcoRst_i,
  45. output wire OscWind_o,
  46. input wire [AdcDataWidth-1:0] Adc1ChT1Data_i, //A
  47. input wire [AdcDataWidth-1:0] Adc1ChR1Data_i, //R1
  48. input wire [AdcDataWidth-1:0] Adc2ChR2Data_i, //R2
  49. input wire [AdcDataWidth-1:0] Adc2ChT2Data_i, //B
  50. input wire GatingPulse_i,
  51. input wire StartMeas_i,
  52. input wire StartMeasDsp_i,
  53. input wire OscDataRdFlag_i,
  54. input wire [32-1:0] MeasNum_i,
  55. input wire [CmdDataRegWith-1:0] MeasCtrl_i,
  56. input wire [CmdDataRegWith-1:0] FilterCorrCoefL_i,
  57. input wire [CmdDataRegWith-1:0] FilterCorrCoefH_i,
  58. output wire EndMeas_o,
  59. input wire CalModeEn_i,
  60. output wire CalModeDone_o,
  61. input wire [CmdDataRegWith-1:0] IfFtwL_i,
  62. input wire [CmdDataRegWith-1:0] IfFtwH_i,
  63. output wire [ResultWidth-1:0] Adc1ImT1Data_o,
  64. output wire [ResultWidth-1:0] Adc1ReT1Data_o,
  65. output wire [ResultWidth-1:0] Adc1ImR1Data_o,
  66. output wire [ResultWidth-1:0] Adc1ReR1Data_o,
  67. //adc2
  68. output wire [ResultWidth-1:0] Adc2ImR2Data_o,
  69. output wire [ResultWidth-1:0] Adc2ReR2Data_o,
  70. output wire [ResultWidth-1:0] Adc2ImT2Data_o,
  71. output wire [ResultWidth-1:0] Adc2ReT2Data_o,
  72. output wire [NcoWidth-1:0] NcoSin_o,
  73. output wire [NcoWidth-1:0] NcoCos_o,
  74. output wire MeasDataRdy_o,
  75. output wire MeasWind_o,
  76. output wire MeasEnd_o,
  77. output wire SampleStrobeGenRst_o
  78. );
  79. //================================================================================
  80. // REG/WIRE
  81. wire [WindNormCoefWidth-1:0] windNormCoef;
  82. wire [WindPNumWidth-1:0] windPointsNum;
  83. wire [WindPNumWidth-1:0] averageNoizeLvl;
  84. wire [WindNcoPhIncWidth-1:0] windPhInc;
  85. wire [WindNcoPhIncWidth-1:0] winPhIncStart;
  86. wire [WindWidth-1:0] wind;
  87. wire [NcoWidth-1:0] ncoCos;
  88. wire [NcoWidth-1:0] ncoSin;
  89. wire [CorrAdcDataWidth-1:0] adcDataBus [ChNum-1:0];
  90. wire [CorrAdcDataWidth-1:0] adcDataBusExt [ChNum-1:0];
  91. wire [CorrAdcDataWidth-1:0] gatedAdcDataBus [ChNum-1:0];
  92. wire [CorrAdcDataWidth-1:0] calAdcData [ChNum-1:0];
  93. wire [CorrAdcDataWidth-1:0] prngData;
  94. reg [CorrAdcDataWidth-1:0] prngDataBus [ChNum-1:0];
  95. wire [ChNum-1:0] calDone;
  96. genvar g;
  97. integer i;
  98. wire [ResultWidth-1:0] resultImBus [ChNum-1:0];
  99. wire [ResultWidth-1:0] resultReBus [ChNum-1:0];
  100. wire [ChNum-1:0] resultValBus;
  101. wire measWind;
  102. wire measWindDelayed;
  103. wire stopMeas;
  104. wire [1:0] tukeyCtrl;
  105. reg [CmdDataRegWith-1:0] measCtrlReg;
  106. reg [32-1:0] windPointsNumReg;
  107. reg [32-1:0] measNumReg;
  108. reg [WindCorrCoefWidth-1:0] filterCorrCoeffReg;
  109. reg [CmdDataRegWith-1:0] ifFtwLReg;
  110. reg [CmdDataRegWith-1:0] ifFtwHReg;
  111. reg [CmdDataRegWith-1:0] filterCorrCoefLReg;
  112. reg [CmdDataRegWith-1:0] filterCorrCoefHReg;
  113. wire [31:0] windArg;
  114. wire [CorrAdcDataWidth-1:0] adc1ChT1DataGated = (GatingPulse_i)? adcDataBusExt[ChNum-4]:{CorrAdcDataWidth{1'b0}};
  115. wire [CorrAdcDataWidth-1:0] adc1ChR1DataGated = (GatingPulse_i)? adcDataBusExt[ChNum-3]:{CorrAdcDataWidth{1'b0}};
  116. wire [CorrAdcDataWidth-1:0] adc2ChR2DataGated = (GatingPulse_i)? adcDataBusExt[ChNum-2]:{CorrAdcDataWidth{1'b0}};
  117. wire [CorrAdcDataWidth-1:0] adc2ChT2DataGated = (GatingPulse_i)? adcDataBusExt[ChNum-1]:{CorrAdcDataWidth{1'b0}};
  118. wire [WindNcoPhIncWidth-1:0] ncoPhInc = {ifFtwHReg[0+:WindNcoPhIncWidth-CmdDataRegWith],ifFtwLReg};
  119. //================================================================================
  120. // ASSIGNMENTS
  121. assign adcDataBus [ChNum-1] = {{2{Adc2ChT2Data_i[AdcDataWidth-1]}},Adc2ChT2Data_i,4'b0};
  122. assign adcDataBus [ChNum-2] = {{2{Adc2ChR2Data_i[AdcDataWidth-1]}},Adc2ChR2Data_i,4'b0};
  123. assign adcDataBus [ChNum-3] = {{2{Adc1ChR1Data_i[AdcDataWidth-1]}},Adc1ChR1Data_i,4'b0};
  124. assign adcDataBus [ChNum-4] = {{2{Adc1ChT1Data_i[AdcDataWidth-1]}},Adc1ChT1Data_i,4'b0};
  125. assign adcDataBusExt [ChNum-1] = calAdcData [ChNum-1]+prngDataBus[ChNum-1];
  126. assign adcDataBusExt [ChNum-2] = calAdcData [ChNum-2]+prngDataBus[ChNum-2];
  127. assign adcDataBusExt [ChNum-3] = calAdcData [ChNum-3]+prngDataBus[ChNum-3];
  128. assign adcDataBusExt [ChNum-4] = calAdcData [ChNum-4]+prngDataBus[ChNum-4];
  129. assign gatedAdcDataBus [ChNum-1] = adc2ChT2DataGated;
  130. assign gatedAdcDataBus [ChNum-2] = adc2ChR2DataGated;
  131. assign gatedAdcDataBus [ChNum-3] = adc1ChR1DataGated;
  132. assign gatedAdcDataBus [ChNum-4] = adc1ChT1DataGated;
  133. assign Adc1ImT1Data_o = resultImBus [ChNum-4];
  134. assign Adc1ReT1Data_o = resultReBus [ChNum-4];
  135. assign Adc1ImR1Data_o = resultImBus [ChNum-3];
  136. assign Adc1ReR1Data_o = resultReBus [ChNum-3];
  137. //adc2
  138. assign Adc2ImR2Data_o = resultImBus [ChNum-2];
  139. assign Adc2ReR2Data_o = resultReBus [ChNum-2];
  140. assign Adc2ImT2Data_o = resultImBus [ChNum-1];
  141. assign Adc2ReT2Data_o = resultReBus [ChNum-1];
  142. assign MeasDataRdy_o = &resultValBus;
  143. assign EndMeas_o = stopMeas;
  144. assign NcoCos_o = ncoCos;
  145. assign NcoSin_o = ncoSin;
  146. assign MeasWind_o = measWind;
  147. assign CalModeDone_o = &calDone;
  148. //================================================================================
  149. // INSTANTIATIONS
  150. //----------------------------------------------
  151. //Module generates event signals for measurement
  152. always @(posedge Clk_i) begin
  153. if (!Rst_i) begin
  154. if (!StartMeas_i) begin
  155. measCtrlReg <= MeasCtrl_i;
  156. ifFtwLReg <= IfFtwL_i;
  157. ifFtwHReg <= IfFtwH_i;
  158. filterCorrCoefLReg <= FilterCorrCoefL_i;
  159. filterCorrCoefHReg <= FilterCorrCoefH_i;
  160. measNumReg <= MeasNum_i;
  161. windPointsNumReg <= windPointsNum;
  162. end
  163. end else begin
  164. measCtrlReg <= 0;
  165. ifFtwLReg <= 0;
  166. ifFtwHReg <= 0;
  167. filterCorrCoefLReg <= 0;
  168. filterCorrCoefHReg <= 0;
  169. measNumReg <= 0;
  170. windPointsNumReg <= 0;
  171. end
  172. end
  173. MeasCtrlModule
  174. #(
  175. .WindPNumWidth (WindPNumWidth)
  176. )
  177. MeasCtrlModule
  178. (
  179. .Clk_i (Clk_i),
  180. .Rst_i (Rst_i),
  181. .OscWind_o (OscWind_o),
  182. .FilterCmd_i (measCtrlReg[15-:8]),
  183. .MeasNum_i (measNumReg),
  184. .StartMeas_i (StartMeas_i),
  185. .StartMeasDsp_i (StartMeasDsp_i),
  186. .Mode_i (measCtrlReg[0]),
  187. .OscDataRdFlag_i (OscDataRdFlag_i),
  188. .WindPointsNum_i (windPointsNumReg),
  189. .WindPhInc_i (windPhInc),
  190. .WindPhIncStart_i (winPhIncStart),
  191. .WindArg_o (windArg),
  192. .StartFpConv_o (StartFpConv),
  193. .MeasWind_o (measWind),
  194. .MeasWindDel_o (measWindDelayed),
  195. .StopMeas_o (stopMeas),
  196. .MeasEnd_o (MeasEnd_o),
  197. .WinCtrl_o (winCtrl),
  198. .TukeyCtrl_o (tukeyCtrl),
  199. .SampleStrobeGenRst_o (SampleStrobeGenRst_o)
  200. );
  201. //----------------------------------------------
  202. //Module selects settings for current window
  203. WinParameters
  204. #(
  205. .WindPhIncWidth (WindNcoPhIncWidth),
  206. .WindNormCoefWidth (WindNormCoefWidth),
  207. .WindPNumWidth (WindPNumWidth),
  208. .BandCmdWidth (BandCmdWidth)
  209. )
  210. WinParameters
  211. (
  212. .Clk_i (Clk_i),
  213. .Rst_i (Rst_i),
  214. .FilterCmd_i (measCtrlReg[15-:8]),
  215. .WinPhInc_o (windPhInc),
  216. .WinPhIncStart_o (winPhIncStart),
  217. .WinNormCoef_o (windNormCoef),
  218. .WinPointsNum_o (windPointsNum),
  219. .AverageNoiseLvl_o (averageNoizeLvl)
  220. );
  221. //----------------------------------------------
  222. //Module generates win samples
  223. Win_calc WinCalcInst
  224. (
  225. .clk_i (Clk_i),
  226. .wind_clk (WindCalcClk_i),
  227. .filterCmd_i (measCtrlReg[15-:8]),
  228. .reset_i (Rst_i),
  229. .WinCtrl_i (winCtrl),
  230. .TukeyCtrl_i (tukeyCtrl),
  231. .MeasWind_i (measWind),
  232. .win_value_i (windArg),
  233. .win_type_i (measCtrlReg[2:0]),
  234. .win_o (wind)
  235. );
  236. //----------------------------------------------
  237. //Module generates Sin and Cos for measurement
  238. CordicNco
  239. #(
  240. .ODatWidth (NcoWidth),
  241. .PhIncWidth (WindNcoPhIncWidth),
  242. .IterNum (15),
  243. .EnSinN (1)
  244. )
  245. ncoInst
  246. (
  247. .Clk_i (Clk_i),
  248. .Rst_i (Rst_i|NcoRst_i),
  249. .Val_i (1'b1),
  250. .PhaseInc_i ({ifFtwHReg[0+:WindNcoPhIncWidth-CmdDataRegWith],ifFtwLReg}),
  251. .WindVal_i (1'b1),
  252. .WinType_i (),
  253. .Wind_o (),
  254. .Sin_o (ncoSin),
  255. .Cos_o (ncoCos),
  256. .Val_o ()
  257. );
  258. ComplPrng
  259. #(
  260. .DataPrngWidth (8),
  261. .InDataWidth (CorrAdcDataWidth),
  262. .OutDataWidth (CorrAdcDataWidth)
  263. )
  264. ComplPrngAdderInst
  265. (
  266. .Clk_i (Clk_i),
  267. .Rst_i (Rst_i),
  268. .PrngData_o (prngData)
  269. );
  270. always @(posedge Clk_i) begin
  271. prngDataBus[0] <= prngData;
  272. for(i=1; i<4; i=i+1) begin
  273. prngDataBus [i]<=prngDataBus[i-1];
  274. end
  275. end
  276. //------------------------------------------------
  277. //Generating needed amount of calculating channels
  278. generate
  279. for (g=0; g<ChNum; g=g+1) begin :DspChannel
  280. AdcCalibration
  281. #(
  282. .AccNum (2097152),
  283. .AdcDataWidth (CorrAdcDataWidth)
  284. )
  285. AdcCalibrationInst
  286. (
  287. .Clk_i (Clk_i),
  288. .Rst_i (Rst_i),
  289. .CalModeEn_i (CalModeEn_i),
  290. .AdcData_i (adcDataBus[g]),
  291. .CalDone_o (calDone[g]),
  292. .CalibratedAdcData_o (calAdcData[g])
  293. );
  294. DspPipeline
  295. #(
  296. .AdcDataWidth (AdcDataWidth),
  297. .AccWidth (AccWidth),
  298. .WindWidth (WindWidth),
  299. .NcoWidth (NcoWidth),
  300. .ResultWidth (ResultWidth),
  301. .WindCorrCoefWidth (WindCorrCoefWidth),
  302. .WindNormCoefWidth (WindNormCoefWidth),
  303. .IntermediateWidth (IntermediateWidth)
  304. )
  305. DspPipelineInst
  306. (
  307. .Clk_i (Clk_i),
  308. .Rst_i (Rst_i),
  309. .Val_i (measWind),
  310. .StartFpConv_i (StartFpConv),
  311. .FilterCorrCoef_i ({filterCorrCoefHReg[0+:WindNcoPhIncWidth-CmdDataRegWith],filterCorrCoefLReg}),
  312. .AverageNoizeLvl_i (averageNoizeLvl),
  313. .AdcData_i (gatedAdcDataBus[g]),
  314. .Wind_i (wind),
  315. .NcoSin_i (ncoSin),
  316. .NcoCos_i (ncoCos),
  317. .NormCoef_i (windNormCoef),
  318. .CorrResultIm_o (resultImBus[g]),
  319. .CorrResultRe_o (resultReBus[g]),
  320. .CorrResultVal_o (resultValBus[g])
  321. );
  322. end
  323. endgenerate
  324. endmodule