modelsim.ini 150 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647164816491650165116521653165416551656165716581659166016611662166316641665166616671668166916701671167216731674167516761677167816791680168116821683168416851686168716881689169016911692169316941695169616971698169917001701170217031704170517061707170817091710171117121713171417151716171717181719172017211722172317241725172617271728172917301731173217331734173517361737173817391740174117421743174417451746174717481749175017511752175317541755175617571758175917601761176217631764176517661767176817691770177117721773177417751776177717781779178017811782178317841785178617871788178917901791179217931794179517961797179817991800180118021803180418051806180718081809181018111812181318141815181618171818181918201821182218231824182518261827182818291830183118321833183418351836183718381839184018411842184318441845184618471848184918501851185218531854185518561857185818591860186118621863186418651866186718681869187018711872187318741875187618771878187918801881188218831884188518861887188818891890189118921893189418951896189718981899190019011902190319041905190619071908190919101911191219131914191519161917191819191920192119221923192419251926192719281929193019311932193319341935193619371938193919401941194219431944194519461947194819491950195119521953195419551956195719581959196019611962196319641965196619671968196919701971197219731974197519761977197819791980198119821983198419851986198719881989199019911992199319941995199619971998199920002001200220032004200520062007200820092010201120122013201420152016201720182019202020212022202320242025202620272028202920302031203220332034203520362037203820392040204120422043204420452046204720482049205020512052205320542055205620572058205920602061206220632064206520662067206820692070207120722073207420752076207720782079208020812082208320842085208620872088208920902091209220932094209520962097209820992100210121022103210421052106210721082109211021112112211321142115211621172118211921202121212221232124212521262127212821292130213121322133213421352136213721382139214021412142214321442145214621472148214921502151215221532154215521562157215821592160216121622163216421652166216721682169217021712172217321742175217621772178217921802181218221832184218521862187218821892190219121922193219421952196219721982199220022012202220322042205220622072208220922102211221222132214221522162217221822192220222122222223222422252226222722282229223022312232223322342235223622372238223922402241224222432244224522462247224822492250225122522253225422552256225722582259226022612262226322642265226622672268226922702271227222732274227522762277227822792280228122822283228422852286228722882289229022912292229322942295229622972298229923002301230223032304230523062307230823092310231123122313231423152316231723182319232023212322232323242325232623272328232923302331233223332334233523362337233823392340234123422343234423452346234723482349235023512352235323542355235623572358235923602361236223632364236523662367236823692370237123722373237423752376237723782379238023812382238323842385238623872388238923902391239223932394239523962397239823992400240124022403240424052406240724082409241024112412241324142415241624172418241924202421242224232424242524262427242824292430243124322433243424352436243724382439244024412442244324442445244624472448244924502451245224532454245524562457245824592460246124622463246424652466246724682469247024712472247324742475247624772478247924802481248224832484248524862487248824892490249124922493249424952496249724982499250025012502250325042505250625072508250925102511251225132514251525162517251825192520252125222523252425252526
  1. ; vsim modelsim.ini file, version 10.4
  2. [Version]
  3. INIVersion = "10.5"
  4. ; Copyright 1991-2016 Mentor Graphics Corporation
  5. ;
  6. ; All Rights Reserved.
  7. ;
  8. ; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
  9. ; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
  10. ;
  11. [Library]
  12. others = $MODEL_TECH/../modelsim.ini
  13. ;
  14. ; VITAL concerns:
  15. ;
  16. ; The library ieee contains (among other packages) the packages of the
  17. ; VITAL 2000 standard. When a design uses VITAL 2000 exclusively, it should use
  18. ; the physical library ieee (recommended), or use the physical library
  19. ; vital2000, but not both. The design can use logical library ieee and/or
  20. ; vital2000 as long as each of these maps to the same physical library, either
  21. ; ieee or vital2000.
  22. ;
  23. ; A design using the 1995 version of the VITAL packages, whether or not
  24. ; it also uses the 2000 version of the VITAL packages, must have logical library
  25. ; name ieee mapped to physical library vital1995. (A design cannot use library
  26. ; vital1995 directly because some packages in this library use logical name ieee
  27. ; when referring to the other packages in the library.) The design source
  28. ; should use logical name ieee when referring to any packages there except the
  29. ; VITAL 2000 packages. Any VITAL 2000 present in the design must use logical
  30. ; name vital2000 (mapped to physical library vital2000) to refer to those
  31. ; packages.
  32. ; ieee = $MODEL_TECH/../vital1995
  33. ;
  34. ; For compatiblity with previous releases, logical library name vital2000 maps
  35. ; to library vital2000 (a different library than library ieee, containing the
  36. ; same packages).
  37. ; A design should not reference VITAL from both the ieee library and the
  38. ; vital2000 library because the vital packages are effectively different.
  39. ; A design that references both the ieee and vital2000 libraries must have
  40. ; both logical names ieee and vital2000 mapped to the same library, either of
  41. ; these:
  42. ; $MODEL_TECH/../ieee
  43. ; $MODEL_TECH/../vital2000
  44. ;
  45. ; added mapping for ADMS
  46. ;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release
  47. ;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
  48. ;mvc_lib = $MODEL_TECH/../mvc_lib
  49. ; Automatically perform logical->physical mapping for physical libraries that
  50. ; appear in -L/-Lf options with filesystem path delimiters (e.g. '.' or '/').
  51. ; The tail of the filesystem path name is chosen as the logical library name.
  52. ; For example, in the command “vopt -L ./path/to/lib1 –o opttop top”,
  53. ; vopt automatically performs the mapping “lib1 -> ./path/to/lib1”.
  54. ; See the User Manual for more details.
  55. ;
  56. ; AutoLibMapping = 0
  57. secureip = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/secureip
  58. unisim = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/unisim
  59. unimacro = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/unimacro
  60. unifast = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/unifast
  61. unisims_ver = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/unisims_ver
  62. unimacro_ver = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/unimacro_ver
  63. unifast_ver = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/unifast_ver
  64. simprims_ver = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/simprims_ver
  65. xpm = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xpm
  66. xilinx_vip = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xilinx_vip
  67. adc_dac_if_phy_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/adc_dac_if_phy_v1_0_0
  68. advanced_io_wizard_phy_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/advanced_io_wizard_phy_v1_0_0
  69. advanced_io_wizard_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/advanced_io_wizard_v1_0_3
  70. aes_v1_1_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/aes_v1_1_2
  71. ahblite_axi_bridge_v3_0_17 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ahblite_axi_bridge_v3_0_17
  72. ai_noc = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ai_noc
  73. ai_pl_trig = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ai_pl_trig
  74. ai_pl = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ai_pl
  75. an_lt_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/an_lt_v1_0_1
  76. audio_clock_recovery_unit_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/audio_clock_recovery_unit_v1_0_2
  77. audio_tpg_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/audio_tpg_v1_0_0
  78. av_pat_gen_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/av_pat_gen_v1_0_1
  79. av_pat_gen_v2_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/av_pat_gen_v2_0_0
  80. axis_cap_ctrl_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_cap_ctrl_v1_0_0
  81. axis_dbg_stub_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_dbg_stub_v1_0_0
  82. axis_dbg_sync_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_dbg_sync_v1_0_0
  83. axis_ila_adv_trig_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_ila_adv_trig_v1_0_0
  84. axis_ila_ct_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_ila_ct_v1_0_0
  85. axis_ila_pp_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_ila_pp_v1_0_0
  86. axis_ila_txns_cntr_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_ila_txns_cntr_v1_0_0
  87. axis_infrastructure_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_infrastructure_v1_1_0
  88. axis_itct_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_itct_v1_0_0
  89. axis_mem_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_mem_v1_0_0
  90. axis_mu_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_mu_v1_0_0
  91. axis_protocol_checker_v2_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_protocol_checker_v2_0_6
  92. axi_ahblite_bridge_v3_0_19 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_ahblite_bridge_v3_0_19
  93. axi_amm_bridge_v1_0_12 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_amm_bridge_v1_0_12
  94. axi_bram_ctrl_v4_1_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_bram_ctrl_v4_1_4
  95. axi_chip2chip_v5_0_9 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_chip2chip_v5_0_9
  96. axi_dbg_hub = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_dbg_hub
  97. axi_infrastructure_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_infrastructure_v1_1_0
  98. axi_jtag_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_jtag_v1_0_0
  99. axi_lite_ipif_v3_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_lite_ipif_v3_0_4
  100. axi_pcie3_v3_0_13 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_pcie3_v3_0_13
  101. axi_perf_mon_v5_0_24 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_perf_mon_v5_0_24
  102. axi_pmon_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_pmon_v1_0_0
  103. axi_remapper_rx_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_remapper_rx_v1_0_0
  104. axi_remapper_tx_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_remapper_tx_v1_0_0
  105. blk_mem_gen_v8_3_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/blk_mem_gen_v8_3_6
  106. blk_mem_gen_v8_4_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/blk_mem_gen_v8_4_4
  107. bsip_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/bsip_v1_1_0
  108. bs_mux_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/bs_mux_v1_0_0
  109. clk_gen_sim_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/clk_gen_sim_v1_0_0
  110. clk_vip_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/clk_vip_v1_0_2
  111. cmac_usplus_v3_1_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/cmac_usplus_v3_1_2
  112. cmac_v2_6_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/cmac_v2_6_2
  113. compact_gt_v1_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/compact_gt_v1_0_8
  114. ddr4_pl_phy_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ddr4_pl_phy_v1_0_0
  115. ddr4_pl_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ddr4_pl_v1_0_3
  116. dist_mem_gen_v8_0_13 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/dist_mem_gen_v8_0_13
  117. dp_videoaxi4s_bridge_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/dp_videoaxi4s_bridge_v1_0_1
  118. ecc_v2_0_13 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ecc_v2_0_13
  119. emb_fifo_gen_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/emb_fifo_gen_v1_0_2
  120. emb_mem_gen_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/emb_mem_gen_v1_0_3
  121. emc_common_v3_0_5 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/emc_common_v3_0_5
  122. ethernet_1_10_25g_v2_6_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ethernet_1_10_25g_v2_6_0
  123. fifo_generator_v13_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/fifo_generator_v13_0_6
  124. fifo_generator_v13_1_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/fifo_generator_v13_1_4
  125. fifo_generator_v13_2_5 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/fifo_generator_v13_2_5
  126. fit_timer_v2_0_10 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/fit_timer_v2_0_10
  127. generic_baseblocks_v2_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/generic_baseblocks_v2_1_0
  128. gigantic_mux = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/gigantic_mux
  129. gig_ethernet_pcs_pma_v16_1_9 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/gig_ethernet_pcs_pma_v16_1_9
  130. gig_ethernet_pcs_pma_v16_2_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/gig_ethernet_pcs_pma_v16_2_1
  131. gmii_to_rgmii_v4_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/gmii_to_rgmii_v4_1_0
  132. gtwizard_ultrascale_v1_5_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/gtwizard_ultrascale_v1_5_4
  133. gtwizard_ultrascale_v1_6_10 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/gtwizard_ultrascale_v1_6_10
  134. gtwizard_ultrascale_v1_7_9 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/gtwizard_ultrascale_v1_7_9
  135. hbm_v1_0_9 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/hbm_v1_0_9
  136. hdcp22_cipher_dp_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/hdcp22_cipher_dp_v1_0_0
  137. hdcp22_cipher_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/hdcp22_cipher_v1_0_3
  138. hdcp22_rng_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/hdcp22_rng_v1_0_1
  139. hdcp_keymngmt_blk_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/hdcp_keymngmt_blk_v1_0_0
  140. hdcp_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/hdcp_v1_0_3
  141. hdmi_gt_controller_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/hdmi_gt_controller_v1_0_3
  142. high_speed_selectio_wiz_v3_2_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/high_speed_selectio_wiz_v3_2_3
  143. high_speed_selectio_wiz_v3_3_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/high_speed_selectio_wiz_v3_3_1
  144. high_speed_selectio_wiz_v3_4_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/high_speed_selectio_wiz_v3_4_1
  145. high_speed_selectio_wiz_v3_5_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/high_speed_selectio_wiz_v3_5_2
  146. high_speed_selectio_wiz_v3_6_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/high_speed_selectio_wiz_v3_6_1
  147. i2s_receiver_v1_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/i2s_receiver_v1_0_4
  148. i2s_transmitter_v1_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/i2s_transmitter_v1_0_4
  149. ibert_lib_v1_0_7 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ibert_lib_v1_0_7
  150. ieee802d3_clause74_fec_v1_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ieee802d3_clause74_fec_v1_0_8
  151. interlaken_v2_4_7 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/interlaken_v2_4_7
  152. in_system_ibert_v1_0_12 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/in_system_ibert_v1_0_12
  153. iomodule_v3_1_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/iomodule_v3_1_6
  154. jesd204c_v4_2_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/jesd204c_v4_2_3
  155. jesd204_v7_2_10 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/jesd204_v7_2_10
  156. jtag_axi = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/jtag_axi
  157. lib_cdc_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lib_cdc_v1_0_2
  158. lib_pkg_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lib_pkg_v1_0_2
  159. ll_compress_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ll_compress_v1_0_0
  160. lmb_bram_if_cntlr_v4_0_19 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lmb_bram_if_cntlr_v4_0_19
  161. lmb_v10_v3_0_11 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lmb_v10_v3_0_11
  162. ltlib_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ltlib_v1_0_0
  163. lut_buffer_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lut_buffer_v1_0_0
  164. lut_buffer_v2_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lut_buffer_v2_0_0
  165. l_ethernet_v3_2_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/l_ethernet_v3_2_0
  166. mammoth_transcode_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mammoth_transcode_v1_0_0
  167. mem_pl_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mem_pl_v1_0_0
  168. microblaze_v10_0_7 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/microblaze_v10_0_7
  169. microblaze_v11_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/microblaze_v11_0_4
  170. microblaze_v9_5_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/microblaze_v9_5_4
  171. mipi_csi2_rx_ctrl_v1_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mipi_csi2_rx_ctrl_v1_0_8
  172. mipi_csi2_tx_ctrl_v1_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mipi_csi2_tx_ctrl_v1_0_4
  173. mipi_dphy_v4_3_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mipi_dphy_v4_3_0
  174. mipi_dsi_tx_ctrl_v1_0_7 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mipi_dsi_tx_ctrl_v1_0_7
  175. mpegtsmux_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mpegtsmux_v1_0_2
  176. mpegtsmux_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mpegtsmux_v1_1_0
  177. mrmac_v1_3_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mrmac_v1_3_0
  178. multi_channel_25g_rs_fec_v1_0_11 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/multi_channel_25g_rs_fec_v1_0_11
  179. mutex_v2_1_11 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mutex_v2_1_11
  180. axi_tg_lib = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_tg_lib
  181. noc_na_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/noc_na_v1_0_0
  182. noc_ncrb_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/noc_ncrb_v1_0_0
  183. noc_nidb_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/noc_nidb_v1_0_0
  184. noc_nps4_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/noc_nps4_v1_0_0
  185. noc_nps6_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/noc_nps6_v1_0_0
  186. noc_nps_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/noc_nps_v1_0_0
  187. noc_nsu_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/noc_nsu_v1_0_0
  188. nvmeha_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/nvmeha_v1_0_3
  189. nvme_tc_v2_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/nvme_tc_v2_0_0
  190. oddr_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/oddr_v1_0_2
  191. oran_radio_if_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/oran_radio_if_v1_1_0
  192. pci32_v5_0_12 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/pci32_v5_0_12
  193. pci64_v5_0_11 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/pci64_v5_0_11
  194. pcie_axi4lite_tap_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/pcie_axi4lite_tap_v1_0_1
  195. pcie_dma_versal_v2_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/pcie_dma_versal_v2_0_1
  196. pcie_jtag_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/pcie_jtag_v1_0_0
  197. pc_cfr_v6_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/pc_cfr_v6_0_8
  198. pc_cfr_v6_1_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/pc_cfr_v6_1_4
  199. pc_cfr_v6_2_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/pc_cfr_v6_2_2
  200. pc_cfr_v6_3_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/pc_cfr_v6_3_2
  201. pc_cfr_v6_4_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/pc_cfr_v6_4_0
  202. picxo = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/picxo
  203. ptp_1588_timer_syncer_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ptp_1588_timer_syncer_v1_0_1
  204. qdma_v4_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/qdma_v4_0_2
  205. qdriv_pl_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/qdriv_pl_v1_0_2
  206. rama_v1_1_7_lib = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/rama_v1_1_7_lib
  207. rld3_pl_phy_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/rld3_pl_phy_v1_0_0
  208. rld3_pl_v1_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/rld3_pl_v1_0_4
  209. roe_framer_v3_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/roe_framer_v3_0_1
  210. rst_vip_v1_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/rst_vip_v1_0_4
  211. smartconnect_v1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/smartconnect_v1_0
  212. sem_ultra_v3_1_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/sem_ultra_v3_1_16
  213. sem_v4_1_13 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/sem_v4_1_13
  214. shell_utils_msp432_bsl_crc_gen_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/shell_utils_msp432_bsl_crc_gen_v1_0_0
  215. sim_clk_gen_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/sim_clk_gen_v1_0_2
  216. sim_rst_gen_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/sim_rst_gen_v1_0_2
  217. sim_trig_v1_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/sim_trig_v1_0_4
  218. stm_v1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/stm_v1_0
  219. stm_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/stm_v1_0_0
  220. system_cache_v4_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/system_cache_v4_0_6
  221. system_cache_v5_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/system_cache_v5_0_3
  222. ta_dma_v1_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ta_dma_v1_0_6
  223. tcc_decoder_3gpplte_v3_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/tcc_decoder_3gpplte_v3_0_6
  224. ten_gig_eth_mac_v15_1_9 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ten_gig_eth_mac_v15_1_9
  225. ten_gig_eth_pcs_pma_v6_0_18 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ten_gig_eth_pcs_pma_v6_0_18
  226. timer_sync_1588_v1_2_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/timer_sync_1588_v1_2_4
  227. tmr_inject_v1_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/tmr_inject_v1_0_4
  228. tmr_manager_v1_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/tmr_manager_v1_0_6
  229. tmr_voter_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/tmr_voter_v1_0_3
  230. trace_s2mm_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/trace_s2mm_v1_0_0
  231. trace_s2mm_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/trace_s2mm_v1_1_0
  232. tsn_endpoint_ethernet_mac_block_v1_0_7 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/tsn_endpoint_ethernet_mac_block_v1_0_7
  233. uhdsdi_gt_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/uhdsdi_gt_v1_0_3
  234. uhdsdi_gt_v2_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/uhdsdi_gt_v2_0_3
  235. uram_rd_back_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/uram_rd_back_v1_0_1
  236. usxgmii_v1_2_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/usxgmii_v1_2_0
  237. util_idelay_ctrl_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/util_idelay_ctrl_v1_0_2
  238. util_reduced_logic_v2_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/util_reduced_logic_v2_0_4
  239. util_vector_logic_v2_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/util_vector_logic_v2_0_1
  240. vfb_v1_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/vfb_v1_0_16
  241. video_frame_crc_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/video_frame_crc_v1_0_3
  242. vid_edid_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/vid_edid_v1_0_0
  243. vid_phy_controller_v2_1_9 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/vid_phy_controller_v2_1_9
  244. vid_phy_controller_v2_2_7 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/vid_phy_controller_v2_2_7
  245. v_axi4s_remap_v1_0_14 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_axi4s_remap_v1_0_14
  246. v_axi4s_remap_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_axi4s_remap_v1_1_0
  247. v_csc_v1_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_csc_v1_0_16
  248. v_csc_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_csc_v1_1_0
  249. v_deinterlacer_v5_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_deinterlacer_v5_0_16
  250. v_deinterlacer_v5_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_deinterlacer_v5_1_0
  251. v_demosaic_v1_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_demosaic_v1_0_8
  252. v_demosaic_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_demosaic_v1_1_0
  253. v_frmbuf_rd_v2_1_5 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_frmbuf_rd_v2_1_5
  254. v_frmbuf_rd_v2_2_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_frmbuf_rd_v2_2_0
  255. v_frmbuf_wr_v2_1_5 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_frmbuf_wr_v2_1_5
  256. v_frmbuf_wr_v2_2_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_frmbuf_wr_v2_2_0
  257. v_gamma_lut_v1_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_gamma_lut_v1_0_8
  258. v_gamma_lut_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_gamma_lut_v1_1_0
  259. v_hcresampler_v1_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_hcresampler_v1_0_16
  260. v_hcresampler_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_hcresampler_v1_1_0
  261. v_hdmi_phy1_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_hdmi_phy1_v1_0_2
  262. v_hdmi_rx_v2_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_hdmi_rx_v2_0_0
  263. v_hdmi_rx_v3_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_hdmi_rx_v3_0_0
  264. v_hdmi_tx1_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_hdmi_tx1_v1_0_0
  265. v_hdmi_tx_v2_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_hdmi_tx_v2_0_0
  266. v_hdmi_tx_v3_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_hdmi_tx_v3_0_0
  267. v_hscaler_v1_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_hscaler_v1_0_16
  268. v_hscaler_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_hscaler_v1_1_0
  269. v_letterbox_v1_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_letterbox_v1_0_16
  270. v_letterbox_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_letterbox_v1_1_0
  271. v_mix_v5_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_mix_v5_0_1
  272. v_mix_v5_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_mix_v5_1_0
  273. v_multi_scaler_v1_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_multi_scaler_v1_0_4
  274. v_multi_scaler_v1_2_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_multi_scaler_v1_2_0
  275. v_scenechange_v1_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_scenechange_v1_0_4
  276. v_scenechange_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_scenechange_v1_1_0
  277. v_sdi_rx_vid_bridge_v2_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_sdi_rx_vid_bridge_v2_0_0
  278. v_smpte_sdi_v3_0_9 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_smpte_sdi_v3_0_9
  279. v_smpte_uhdsdi_rx_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_smpte_uhdsdi_rx_v1_0_0
  280. v_smpte_uhdsdi_tx_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_smpte_uhdsdi_tx_v1_0_0
  281. v_smpte_uhdsdi_v1_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_smpte_uhdsdi_v1_0_8
  282. v_tpg_v7_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_tpg_v7_0_16
  283. v_tpg_v8_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_tpg_v8_0_4
  284. v_tpg_v8_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_tpg_v8_1_0
  285. v_uhdsdi_audio_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_uhdsdi_audio_v1_0_1
  286. v_uhdsdi_audio_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_uhdsdi_audio_v1_1_0
  287. v_uhdsdi_audio_v2_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_uhdsdi_audio_v2_0_3
  288. v_uhdsdi_vidgen_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_uhdsdi_vidgen_v1_0_1
  289. v_vcresampler_v1_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_vcresampler_v1_0_16
  290. v_vcresampler_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_vcresampler_v1_1_0
  291. v_vid_in_axi4s_v4_0_9 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_vid_in_axi4s_v4_0_9
  292. v_vscaler_v1_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_vscaler_v1_0_16
  293. v_vscaler_v1_1_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_vscaler_v1_1_0
  294. xbip_dsp48_wrapper_v3_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_dsp48_wrapper_v3_0_4
  295. xbip_utils_v3_0_10 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_utils_v3_0_10
  296. xdma_v4_1_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xdma_v4_1_8
  297. xhmc_v1_0_12 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xhmc_v1_0_12
  298. xlconcat_v2_1_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xlconcat_v2_1_4
  299. xlconstant_v1_1_7 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xlconstant_v1_1_7
  300. xlslice_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xlslice_v1_0_2
  301. xpm_cdc_gen_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xpm_cdc_gen_v1_0_0
  302. xsdbm_v2_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xsdbm_v2_0_0
  303. xsdbm_v3_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xsdbm_v3_0_0
  304. xxv_ethernet_v3_3_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xxv_ethernet_v3_3_0
  305. lib_srl_fifo_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lib_srl_fifo_v1_0_2
  306. lib_fifo_v1_0_14 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lib_fifo_v1_0_14
  307. axi_datamover_v5_1_24 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_datamover_v5_1_24
  308. amm_axi_bridge_v1_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/amm_axi_bridge_v1_0_8
  309. axis_interconnect_v1_1_18 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_interconnect_v1_1_18
  310. ats_switch_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ats_switch_v1_0_3
  311. audio_formatter_v1_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/audio_formatter_v1_0_4
  312. axi4stream_vip_v1_1_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi4stream_vip_v1_1_8
  313. v_tc_v6_2_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_tc_v6_2_1
  314. v_dp_axi4s_vid_out_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_dp_axi4s_vid_out_v1_0_1
  315. v_tc_v6_1_13 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_tc_v6_1_13
  316. v_axi4s_vid_out_v4_0_11 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_axi4s_vid_out_v4_0_11
  317. axi4svideo_bridge_v1_0_11 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi4svideo_bridge_v1_0_11
  318. axis_accelerator_adapter_v2_1_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_accelerator_adapter_v2_1_16
  319. axis_broadcaster_v1_1_21 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_broadcaster_v1_1_21
  320. axis_clock_converter_v1_1_23 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_clock_converter_v1_1_23
  321. axis_combiner_v1_1_20 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_combiner_v1_1_20
  322. axis_data_fifo_v1_1_23 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_data_fifo_v1_1_23
  323. axis_data_fifo_v2_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_data_fifo_v2_0_4
  324. axis_register_slice_v1_1_22 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_register_slice_v1_1_22
  325. axis_dwidth_converter_v1_1_21 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_dwidth_converter_v1_1_21
  326. axis_ila_intf_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_ila_intf_v1_0_0
  327. axis_subset_converter_v1_1_22 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_subset_converter_v1_1_22
  328. axis_switch_v1_1_22 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_switch_v1_1_22
  329. axis_vio_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axis_vio_v1_0_2
  330. axi_apb_bridge_v3_0_17 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_apb_bridge_v3_0_17
  331. axi_bram_ctrl_v4_0_14 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_bram_ctrl_v4_0_14
  332. axi_sg_v4_1_13 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_sg_v4_1_13
  333. axi_cdma_v4_1_22 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_cdma_v4_1_22
  334. axi_clock_converter_v2_1_21 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_clock_converter_v2_1_21
  335. axi_data_fifo_v2_1_21 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_data_fifo_v2_1_21
  336. axi_register_slice_v2_1_22 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_register_slice_v2_1_22
  337. axi_crossbar_v2_1_23 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_crossbar_v2_1_23
  338. axi_dma_v7_1_23 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_dma_v7_1_23
  339. axi_protocol_converter_v2_1_22 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_protocol_converter_v2_1_22
  340. axi_dwidth_converter_v2_1_22 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_dwidth_converter_v2_1_22
  341. axi_emc_v3_0_22 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_emc_v3_0_22
  342. axi_epc_v2_0_25 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_epc_v2_0_25
  343. lib_bmg_v1_0_13 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lib_bmg_v1_0_13
  344. axi_ethernetlite_v3_0_21 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_ethernetlite_v3_0_21
  345. axi_ethernet_buffer_v2_0_23 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_ethernet_buffer_v2_0_23
  346. axi_fifo_mm_s_v4_1_19 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_fifo_mm_s_v4_1_19
  347. axi_fifo_mm_s_v4_2_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_fifo_mm_s_v4_2_4
  348. axi_firewall_v1_0_10 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_firewall_v1_0_10
  349. axi_firewall_v1_1_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_firewall_v1_1_1
  350. interrupt_control_v3_1_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/interrupt_control_v3_1_4
  351. axi_gpio_v2_0_24 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_gpio_v2_0_24
  352. axi_hbicap_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_hbicap_v1_0_3
  353. axi_hwicap_v3_0_26 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_hwicap_v3_0_26
  354. axi_iic_v2_0_25 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_iic_v2_0_25
  355. axi_intc_v4_1_15 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_intc_v4_1_15
  356. axi_interconnect_v1_7_18 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_interconnect_v1_7_18
  357. axi_master_burst_v2_0_7 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_master_burst_v2_0_7
  358. axi_msg_v1_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_msg_v1_0_6
  359. axi_mcdma_v1_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_mcdma_v1_0_8
  360. axi_mcdma_v1_1_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_mcdma_v1_1_3
  361. axi_memory_init_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_memory_init_v1_0_3
  362. axi_mm2s_mapper_v1_1_21 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_mm2s_mapper_v1_1_21
  363. axi_mmu_v2_1_20 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_mmu_v2_1_20
  364. axi_pcie_v2_9_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_pcie_v2_9_4
  365. axi_protocol_checker_v2_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_protocol_checker_v2_0_8
  366. axi_quad_spi_v3_2_21 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_quad_spi_v3_2_21
  367. axi_sideband_util_v1_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_sideband_util_v1_0_6
  368. axi_tft_v2_0_23 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_tft_v2_0_23
  369. axi_timebase_wdt_v3_0_14 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_timebase_wdt_v3_0_14
  370. axi_timer_v2_0_24 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_timer_v2_0_24
  371. axi_traffic_gen_v2_0_23 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_traffic_gen_v2_0_23
  372. axi_traffic_gen_v3_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_traffic_gen_v3_0_8
  373. axi_uart16550_v2_0_24 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_uart16550_v2_0_24
  374. axi_uartlite_v2_0_26 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_uartlite_v2_0_26
  375. axi_usb2_device_v5_0_23 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_usb2_device_v5_0_23
  376. axi_utils_v2_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_utils_v2_0_6
  377. axi_vdma_v6_3_10 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_vdma_v6_3_10
  378. xbip_pipe_v3_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_pipe_v3_0_6
  379. xbip_dsp48_addsub_v3_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_dsp48_addsub_v3_0_6
  380. xbip_addsub_v3_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_addsub_v3_0_6
  381. c_reg_fd_v12_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/c_reg_fd_v12_0_6
  382. c_addsub_v12_0_14 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/c_addsub_v12_0_14
  383. axi_vfifo_ctrl_v2_0_24 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_vfifo_ctrl_v2_0_24
  384. axi_vip_v1_1_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_vip_v1_1_8
  385. bs_switch_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/bs_switch_v1_0_0
  386. canfd_v2_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/canfd_v2_0_4
  387. canfd_v3_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/canfd_v3_0_1
  388. can_v5_0_25 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/can_v5_0_25
  389. cic_compiler_v4_0_15 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/cic_compiler_v4_0_15
  390. xbip_bram18k_v3_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_bram18k_v3_0_6
  391. mult_gen_v12_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mult_gen_v12_0_16
  392. cmpy_v6_0_19 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/cmpy_v6_0_19
  393. c_mux_bit_v12_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/c_mux_bit_v12_0_6
  394. c_shift_ram_v12_0_14 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/c_shift_ram_v12_0_14
  395. c_mux_bus_v12_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/c_mux_bus_v12_0_6
  396. c_gate_bit_v12_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/c_gate_bit_v12_0_6
  397. xbip_counter_v3_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_counter_v3_0_6
  398. c_counter_binary_v12_0_14 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/c_counter_binary_v12_0_14
  399. c_compare_v12_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/c_compare_v12_0_6
  400. convolution_v9_0_15 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/convolution_v9_0_15
  401. cordic_v6_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/cordic_v6_0_16
  402. cpri_v8_11_5 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/cpri_v8_11_5
  403. xbip_dsp48_acc_v3_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_dsp48_acc_v3_0_6
  404. xbip_accum_v3_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_accum_v3_0_6
  405. c_accum_v12_0_14 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/c_accum_v12_0_14
  406. dbg_intf = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/dbg_intf
  407. xbip_dsp48_multadd_v3_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_dsp48_multadd_v3_0_6
  408. dds_compiler_v6_0_20 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/dds_compiler_v6_0_20
  409. dft_v4_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/dft_v4_0_16
  410. dft_v4_1_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/dft_v4_1_1
  411. dft_v4_2_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/dft_v4_2_1
  412. dfx_axi_shutdown_manager_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/dfx_axi_shutdown_manager_v1_0_0
  413. dfx_bitstream_monitor_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/dfx_bitstream_monitor_v1_0_0
  414. dfx_controller_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/dfx_controller_v1_0_1
  415. dfx_decoupler_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/dfx_decoupler_v1_0_1
  416. displayport_v7_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/displayport_v7_0_0
  417. displayport_v8_1_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/displayport_v8_1_3
  418. displayport_v9_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/displayport_v9_0_3
  419. xbip_dsp48_mult_v3_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_dsp48_mult_v3_0_6
  420. floating_point_v7_0_18 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/floating_point_v7_0_18
  421. div_gen_v5_1_17 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/div_gen_v5_1_17
  422. dsp_macro_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/dsp_macro_v1_0_1
  423. fir_compiler_v5_2_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/fir_compiler_v5_2_6
  424. duc_ddc_compiler_v3_0_15 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/duc_ddc_compiler_v3_0_15
  425. ernic_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ernic_v1_0_2
  426. ernic_v2_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ernic_v2_0_0
  427. ernic_v3_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ernic_v3_0_0
  428. etrnic_v1_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/etrnic_v1_0_4
  429. etrnic_v1_1_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/etrnic_v1_1_3
  430. fc32_rs_fec_v1_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/fc32_rs_fec_v1_0_16
  431. fec_5g_common_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/fec_5g_common_v1_0_1
  432. fec_5g_common_v1_1_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/fec_5g_common_v1_1_1
  433. fir_compiler_v7_2_15 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/fir_compiler_v7_2_15
  434. flexo_100g_rs_fec_v1_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/flexo_100g_rs_fec_v1_0_16
  435. floating_point_v7_1_11 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/floating_point_v7_1_11
  436. g709_rs_encoder_v2_2_7 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/g709_rs_encoder_v2_2_7
  437. rs_toolbox_v9_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/rs_toolbox_v9_0_8
  438. g709_rs_decoder_v2_2_9 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/g709_rs_decoder_v2_2_9
  439. g709_fec_v2_3_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/g709_fec_v2_3_6
  440. g709_fec_v2_4_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/g709_fec_v2_4_2
  441. g975_efec_i4_v1_0_18 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/g975_efec_i4_v1_0_18
  442. g975_efec_i7_v2_0_18 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/g975_efec_i7_v2_0_18
  443. icap_arb_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/icap_arb_v1_0_0
  444. ieee802d3_200g_rs_fec_v1_0_11 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ieee802d3_200g_rs_fec_v1_0_11
  445. ieee802d3_200g_rs_fec_v2_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ieee802d3_200g_rs_fec_v2_0_0
  446. ieee802d3_25g_rs_fec_v1_0_18 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ieee802d3_25g_rs_fec_v1_0_18
  447. ieee802d3_400g_rs_fec_v1_0_11 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ieee802d3_400g_rs_fec_v1_0_11
  448. ieee802d3_400g_rs_fec_v2_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ieee802d3_400g_rs_fec_v2_0_2
  449. ieee802d3_50g_rs_fec_v1_0_14 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ieee802d3_50g_rs_fec_v1_0_14
  450. ieee802d3_50g_rs_fec_v2_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ieee802d3_50g_rs_fec_v2_0_6
  451. ieee802d3_rs_fec_v1_0_18 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ieee802d3_rs_fec_v1_0_18
  452. ieee802d3_rs_fec_v2_0_10 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ieee802d3_rs_fec_v2_0_10
  453. ldpc_v2_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ldpc_v2_0_6
  454. lte_3gpp_channel_estimator_v2_0_17 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lte_3gpp_channel_estimator_v2_0_17
  455. lte_3gpp_mimo_decoder_v3_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lte_3gpp_mimo_decoder_v3_0_16
  456. lte_3gpp_mimo_encoder_v4_0_15 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lte_3gpp_mimo_encoder_v4_0_15
  457. tcc_encoder_3gpplte_v4_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/tcc_encoder_3gpplte_v4_0_16
  458. lte_dl_channel_encoder_v3_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lte_dl_channel_encoder_v3_0_16
  459. lte_dl_channel_encoder_v4_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lte_dl_channel_encoder_v4_0_2
  460. xfft_v7_2_11 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xfft_v7_2_11
  461. lte_fft_v2_0_20 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lte_fft_v2_0_20
  462. xfft_v9_1_5 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xfft_v9_1_5
  463. lte_fft_v2_1_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lte_fft_v2_1_3
  464. xbip_dsp48_multacc_v3_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_dsp48_multacc_v3_0_6
  465. lte_pucch_receiver_v2_0_18 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lte_pucch_receiver_v2_0_18
  466. xbip_multadd_v3_0_15 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_multadd_v3_0_15
  467. lte_rach_detector_v3_1_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lte_rach_detector_v3_1_8
  468. lte_ul_channel_decoder_v4_0_17 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lte_ul_channel_decoder_v4_0_17
  469. mailbox_v2_1_14 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mailbox_v2_1_14
  470. mdm_v3_2_19 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mdm_v3_2_19
  471. mem_tg_v1_0_3 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mem_tg_v1_0_3
  472. iomodule_v3_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/iomodule_v3_0
  473. lmb_bram_if_cntlr_v4_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lmb_bram_if_cntlr_v4_0
  474. lmb_v10_v3_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/lmb_v10_v3_0
  475. axi_lite_ipif_v3_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/axi_lite_ipif_v3_0
  476. mdm_v3_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/mdm_v3_2
  477. microblaze_mcs_v2_3_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/microblaze_mcs_v2_3_6
  478. perf_axi_tg_v1_0_11 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/perf_axi_tg_v1_0_11
  479. polar_v1_0_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/polar_v1_0_6
  480. prc_v1_3_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/prc_v1_3_4
  481. processing_system7_vip_v1_0_10 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/processing_system7_vip_v1_0_10
  482. proc_sys_reset_v5_0_13 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/proc_sys_reset_v5_0_13
  483. pr_axi_shutdown_manager_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/pr_axi_shutdown_manager_v1_0_2
  484. pr_bitstream_monitor_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/pr_bitstream_monitor_v1_0_2
  485. pr_decoupler_v1_0_9 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/pr_decoupler_v1_0_9
  486. qdriv_pl_phy_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/qdriv_pl_phy_v1_0_0
  487. quadsgmii_v3_5_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/quadsgmii_v3_5_0
  488. rs_decoder_v9_0_17 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/rs_decoder_v9_0_17
  489. rs_encoder_v9_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/rs_encoder_v9_0_16
  490. sd_fec_v1_1_6 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/sd_fec_v1_1_6
  491. shell_utils_addr_remap_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/shell_utils_addr_remap_v1_0_1
  492. sid_v8_0_15 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/sid_v8_0_15
  493. soft_ecc_proxy_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/soft_ecc_proxy_v1_0_0
  494. spdif_v2_0_23 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/spdif_v2_0_23
  495. srio_gen2_v4_1_9 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/srio_gen2_v4_1_9
  496. switch_core_top_v1_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/switch_core_top_v1_0_8
  497. sync_ip = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/sync_ip
  498. tcc_decoder_3gppmm_v2_0_20 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/tcc_decoder_3gppmm_v2_0_20
  499. tcc_encoder_3gpp_v5_0_16 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/tcc_encoder_3gpp_v5_0_16
  500. tmr_comparator_v1_0_4 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/tmr_comparator_v1_0_4
  501. tmr_sem_v1_0_15 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/tmr_sem_v1_0_15
  502. tri_mode_ethernet_mac_v9_0_17 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/tri_mode_ethernet_mac_v9_0_17
  503. tsn_temac_v1_0_5 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/tsn_temac_v1_0_5
  504. vby1hs_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/vby1hs_v1_0_0
  505. ba317 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/ba317
  506. versal_cips_ps_vip_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/versal_cips_ps_vip_v1_0_0
  507. videoaxi4s_bridge_v1_0_5 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/videoaxi4s_bridge_v1_0_5
  508. viterbi_v9_1_12 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/viterbi_v9_1_12
  509. v_dual_splitter_v1_0_9 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_dual_splitter_v1_0_9
  510. v_hdmi_rx1_v1_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_hdmi_rx1_v1_0_0
  511. v_vid_gt_bridge_v1_0_1 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_vid_gt_bridge_v1_0_1
  512. v_vid_sdi_tx_bridge_v2_0_0 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/v_vid_sdi_tx_bridge_v2_0_0
  513. xbip_dsp48_macro_v3_0_18 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xbip_dsp48_macro_v3_0_18
  514. xfft_v9_0_19 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xfft_v9_0_19
  515. xsdbs_v1_0_2 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/xsdbs_v1_0_2
  516. zynq_ultra_ps_e_vip_v1_0_8 = C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.cache/compile_simlib/modelsim/zynq_ultra_ps_e_vip_v1_0_8
  517. [DefineOptionset]
  518. ; Define optionset entries for the various compilers, vmake, and vsim.
  519. ; These option sets can be used with the "-optionset <optionsetname>" syntax.
  520. ; i.e.
  521. ; vlog -optionset COMPILEDEBUG top.sv
  522. ; vsim -optionset UVMDEBUG my_top
  523. ;
  524. ; Following are some useful examples.
  525. ; define a vsim optionset for uvm debugging
  526. UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop
  527. ; define a vopt optionset for debugging
  528. VOPTDEBUG = +acc -debugdb
  529. [vcom]
  530. ; VHDL93 variable selects language version as the default.
  531. ; Default is VHDL-2002.
  532. ; Value of 0 or 1987 for VHDL-1987.
  533. ; Value of 1 or 1993 for VHDL-1993.
  534. ; Default or value of 2 or 2002 for VHDL-2002.
  535. ; Value of 3 or 2008 for VHDL-2008
  536. ; Value of 4 or ams99 for VHDL-AMS-1999
  537. ; Value of 5 or ams07 for VHDL-AMS-2007
  538. VHDL93 = 2002
  539. ; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
  540. ; ignoreStandardRealVector = 1
  541. ; Show source line containing error. Default is off.
  542. ; Show_source = 1
  543. ; Turn off unbound-component warnings. Default is on.
  544. ; Show_Warning1 = 0
  545. ; Turn off process-without-a-wait-statement warnings. Default is on.
  546. ; Show_Warning2 = 0
  547. ; Turn off null-range warnings. Default is on.
  548. ; Show_Warning3 = 0
  549. ; Turn off no-space-in-time-literal warnings. Default is on.
  550. ; Show_Warning4 = 0
  551. ; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
  552. ; Show_Warning5 = 0
  553. ; Turn off optimization for IEEE std_logic_1164 package. Default is on.
  554. ; Optimize_1164 = 0
  555. ; Enable compiler statistics. Specify one or more arguments:
  556. ; [all,none,time,cmd,msg,perf,verbose,list]
  557. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  558. ; Stats = time,cmd,msg
  559. ; Turn on resolving of ambiguous function overloading in favor of the
  560. ; "explicit" function declaration (not the one automatically created by
  561. ; the compiler for each type declaration). Default is off.
  562. ; The .ini file has Explicit enabled so that std_logic_signed/unsigned
  563. ; will match the behavior of synthesis tools.
  564. Explicit = 1
  565. ; Turn off acceleration of the VITAL packages. Default is to accelerate.
  566. ; NoVital = 1
  567. ; Turn off VITAL compliance checking. Default is checking on.
  568. ; NoVitalCheck = 1
  569. ; Ignore VITAL compliance checking errors. Default is to not ignore.
  570. ; IgnoreVitalErrors = 1
  571. ; Turn off VITAL compliance checking warnings. Default is to show warnings.
  572. ; Show_VitalChecksWarnings = 0
  573. ; Turn off PSL assertion warning messages. Default is to show warnings.
  574. ; Show_PslChecksWarnings = 0
  575. ; Enable parsing of embedded PSL assertions. Default is enabled.
  576. ; EmbeddedPsl = 0
  577. ; Keep silent about case statement static warnings.
  578. ; Default is to give a warning.
  579. ; NoCaseStaticError = 1
  580. ; Keep silent about warnings caused by aggregates that are not locally static.
  581. ; Default is to give a warning.
  582. ; NoOthersStaticError = 1
  583. ; Treat as errors:
  584. ; case statement static warnings
  585. ; warnings caused by aggregates that are not locally static
  586. ; Overrides NoCaseStaticError, NoOthersStaticError settings.
  587. ; PedanticErrors = 1
  588. ; Turn off inclusion of debugging info within design units.
  589. ; Default is to include debugging info.
  590. ; NoDebug = 1
  591. ; Turn off "Loading..." messages. Default is messages on.
  592. ; Quiet = 1
  593. ; Turn on some limited synthesis rule compliance checking. Checks only:
  594. ; -- signals used (read) by a process must be in the sensitivity list
  595. ; CheckSynthesis = 1
  596. ; Activate optimizations on expressions that do not involve signals,
  597. ; waits, or function/procedure/task invocations. Default is off.
  598. ; ScalarOpts = 1
  599. ; Turns on lint-style checking.
  600. ; Show_Lint = 1
  601. ; Require the user to specify a configuration for all bindings,
  602. ; and do not generate a compile time default binding for the
  603. ; component. This will result in an elaboration error of
  604. ; 'component not bound' if the user fails to do so. Avoids the rare
  605. ; issue of a false dependency upon the unused default binding.
  606. ; RequireConfigForAllDefaultBinding = 1
  607. ; Perform default binding at compile time.
  608. ; Default is to do default binding at load time.
  609. ; BindAtCompile = 1;
  610. ; Inhibit range checking on subscripts of arrays. Range checking on
  611. ; scalars defined with subtypes is inhibited by default.
  612. ; NoIndexCheck = 1
  613. ; Inhibit range checks on all (implicit and explicit) assignments to
  614. ; scalar objects defined with subtypes.
  615. ; NoRangeCheck = 1
  616. ; Set the prefix to be honored for synthesis/coverage pragma recognition.
  617. ; Default is "".
  618. ; AddPragmaPrefix = ""
  619. ; Ignore synthesis and coverage pragmas with this prefix.
  620. ; Default is "".
  621. ; IgnorePragmaPrefix = ""
  622. ; Turn on code coverage in VHDL design units. Default is off.
  623. ; Coverage = sbceft
  624. ; Turn off code coverage in VHDL subprograms. Default is on.
  625. ; CoverSub = 0
  626. ; Automatically exclude VHDL case statement OTHERS choice branches.
  627. ; This includes OTHERS choices in selected signal assigment statements.
  628. ; Default is to not exclude.
  629. ; CoverExcludeDefault = 1
  630. ; Control compiler and VOPT optimizations that are allowed when
  631. ; code coverage is on. Refer to the comment for this in the [vlog] area.
  632. ; CoverOpt = 3
  633. ; Turn on or off clkOpt optimization for code coverage. Default is on.
  634. ; CoverClkOpt = 1
  635. ; Turn on or off clkOpt optimization builtins for code coverage. Default is on.
  636. ; CoverClkOptBuiltins = 0
  637. ; Inform code coverage optimizations to respect VHDL 'H' and 'L'
  638. ; values on signals in conditions and expressions, and to not automatically
  639. ; convert them to '1' and '0'. Default is to not convert.
  640. ; CoverRespectHandL = 0
  641. ; Increase or decrease the maximum number of rows allowed in a UDP table
  642. ; implementing a VHDL condition coverage or expression coverage expression.
  643. ; More rows leads to a longer compile time, but more expressions covered.
  644. ; CoverMaxUDPRows = 192
  645. ; Increase or decrease the maximum number of input patterns that are present
  646. ; in FEC table. This leads to a longer compile time with more expressions
  647. ; covered with FEC metric.
  648. ; CoverMaxFECRows = 192
  649. ; Increase or decrease the limit on the size of expressions and conditions
  650. ; considered for expression and condition coverages. Higher FecUdpEffort leads
  651. ; to higher compile, optimize and simulation time, but more expressions and
  652. ; conditions are considered for coverage in the design. FecUdpEffort can
  653. ; be set to a number ranging from 1 (low) to 3 (high), defined as:
  654. ; 1 - (low) Only small expressions and conditions considered for coverage.
  655. ; 2 - (medium) Bigger expressions and conditions considered for coverage.
  656. ; 3 - (high) Very large expressions and conditions considered for coverage.
  657. ; The default setting is 1 (low).
  658. ; FecUdpEffort = 1
  659. ; Enable or disable Focused Expression Coverage analysis for conditions and
  660. ; expressions. Focused Expression Coverage data is provided by default when
  661. ; expression and/or condition coverage is active.
  662. ; CoverFEC = 0
  663. ; Enable or disable UDP Coverage analysis for conditions and expressions.
  664. ; UDP Coverage data is disabled by default when expression and/or condition
  665. ; coverage is active.
  666. ; CoverUDP = 1
  667. ; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
  668. ; Disabling this would convert non-masking conditions in FEC tables to matching
  669. ; input patterns.
  670. ; CoverREC = 1
  671. ; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
  672. ; for expression/condition coverage.
  673. ; NOTE: Enabling this may have a negative impact on simulation performance.
  674. ; CoverExpandReductionPrefix = 0
  675. ; Enable or disable short circuit evaluation of conditions and expressions when
  676. ; condition or expression coverage is active. Short circuit evaluation is enabled
  677. ; by default.
  678. ; CoverShortCircuit = 0
  679. ; Enable code coverage reporting of code that has been optimized away.
  680. ; The default is not to report.
  681. ; CoverReportCancelled = 1
  682. ; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
  683. ; Default is no deglitching.
  684. ; CoverDeglitchOn = 1
  685. ; Control the code coverage deglitching period. A period of 0, eliminates delta
  686. ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
  687. ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
  688. ; CoverDeglitchPeriod = 0
  689. ; Use this directory for compiler temporary files instead of "work/_temp"
  690. ; CompilerTempDir = /tmp
  691. ; Set this to cause the compilers to force data to be committed to disk
  692. ; when the files are closed.
  693. ; SyncCompilerFiles = 1
  694. ; Add VHDL-AMS declarations to package STANDARD
  695. ; Default is not to add
  696. ; AmsStandard = 1
  697. ; Range and length checking will be performed on array indices and discrete
  698. ; ranges, and when violations are found within subprograms, errors will be
  699. ; reported. Default is to issue warnings for violations, because subprograms
  700. ; may not be invoked.
  701. ; NoDeferSubpgmCheck = 0
  702. ; Turn ON detection of FSMs having single bit current state variable.
  703. ; FsmSingle = 1
  704. ; Turn off reset state transitions in FSM.
  705. ; FsmResetTrans = 0
  706. ; Turn ON detection of FSM Implicit Transitions.
  707. ; FsmImplicitTrans = 1
  708. ; Controls whether or not to show immediate assertions with constant expressions
  709. ; in GUI/report/UCDB etc. By default, immediate assertions with constant
  710. ; expressions are shown in GUI/report/UCDB etc. This does not affect
  711. ; evaluation of immediate assertions.
  712. ; ShowConstantImmediateAsserts = 0
  713. ; Controls how VHDL basic identifiers are stored with the design unit.
  714. ; Does not make the language case-sensitive, affects only how declarations
  715. ; declared with basic identifiers have their names stored and printed
  716. ; (in the GUI, examine, etc.).
  717. ; Default is to preserve the case as originally depicted in the VHDL source.
  718. ; Value of 0 indicates to change all basic identifiers to lower case.
  719. ; PreserveCase = 0
  720. ; For Configuration Declarations, controls the effect that USE clauses have
  721. ; on visibility inside the configuration items being configured. If 1
  722. ; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance,
  723. ; extend the visibility of objects made visible through USE clauses into nested
  724. ; component configurations.
  725. ; OldVHDLConfigurationVisibility = 0
  726. ; Allows VHDL configuration declarations to be in a different library from
  727. ; the corresponding configured entity. Default is to not allow this for
  728. ; stricter LRM-compliance.
  729. ; SeparateConfigLibrary = 1;
  730. ; Determine how mode OUT subprogram parameters of type array and record are treated.
  731. ; If 0 (the default), then only VHDL 2008 will do this initialization.
  732. ; If 1, always initialize the mode OUT parameter to its default value.
  733. ; If 2, do not initialize the mode OUT out parameter.
  734. ; Note that prior to release 10.1, all language versions did not initialize mode
  735. ; OUT array and record type parameters, unless overridden here via this mechanism.
  736. ; In release 10.1 and later, only files compiled with VHDL 2008 will cause this
  737. ; initialization, unless overridden here.
  738. ; InitOutCompositeParam = 0
  739. ; Generate symbols debugging database in only some special cases to save on
  740. ; the number of files in the library. For other design-units, this database is
  741. ; generated on-demand in vsim.
  742. ; Default is to to generate debugging database for all design-units.
  743. ; SmartDbgSym = 1
  744. ; Enable or disable automatic creation of missing libraries.
  745. ; Default is 1 (enabled)
  746. ; CreateLib = 1
  747. [vlog]
  748. ; Turn off inclusion of debugging info within design units.
  749. ; Default is to include debugging info.
  750. ; NoDebug = 1
  751. ; Turn on `protect compiler directive processing.
  752. ; Default is to ignore `protect directives.
  753. ; Protect = 1
  754. ; Turn off "Loading..." messages. Default is messages on.
  755. ; Quiet = 1
  756. ; Turn on Verilog hazard checking (order-dependent accessing of global vars).
  757. ; Default is off.
  758. ; Hazard = 1
  759. ; Turn on converting regular Verilog identifiers to uppercase. Allows case
  760. ; insensitivity for module names. Default is no conversion.
  761. ; UpCase = 1
  762. ; Activate optimizations on expressions that do not involve signals,
  763. ; waits, or function/procedure/task invocations. Default is off.
  764. ; ScalarOpts = 1
  765. ; Turns on lint-style checking.
  766. ; Show_Lint = 1
  767. ; Show source line containing error. Default is off.
  768. ; Show_source = 1
  769. ; Turn on bad option warning. Default is off.
  770. ; Show_BadOptionWarning = 1
  771. ; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
  772. ; vlog95compat = 1
  773. ; Turn off PSL warning messages. Default is to show warnings.
  774. ; Show_PslChecksWarnings = 0
  775. ; Enable parsing of embedded PSL assertions. Default is enabled.
  776. ; EmbeddedPsl = 0
  777. ; Enable compiler statistics. Specify one or more arguments:
  778. ; [all,none,time,cmd,msg,perf,verbose,list,kb]
  779. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  780. ; Stats = time,cmd,msg
  781. ; Set the threshold for automatically identifying sparse Verilog memories.
  782. ; A memory with total size in bytes equal to or more than the sparse memory
  783. ; threshold gets marked as sparse automatically, unless specified otherwise
  784. ; in source code or by the +nosparse commandline option of vlog or vopt.
  785. ; The default is 1M. (i.e. memories with total size equal
  786. ; to or greater than 1Mb are marked as sparse)
  787. ; SparseMemThreshold = 1048576
  788. ; Set the prefix to be honored for synthesis and coverage pragma recognition.
  789. ; Default is "".
  790. ; AddPragmaPrefix = ""
  791. ; Ignore synthesis and coverage pragmas with this prefix.
  792. ; Default is "".
  793. ; IgnorePragmaPrefix = ""
  794. ; Set the option to treat all files specified in a vlog invocation as a
  795. ; single compilation unit. The default value is set to 0 which will treat
  796. ; each file as a separate compilation unit as specified in the P1800 draft standard.
  797. ; MultiFileCompilationUnit = 1
  798. ; Turn on code coverage in Verilog design units. Default is off.
  799. ; Coverage = sbceft
  800. ; Automatically exclude Verilog case statement default branches.
  801. ; Default is to not automatically exclude defaults.
  802. ; CoverExcludeDefault = 1
  803. ; Increase or decrease the maximum number of rows allowed in a UDP table
  804. ; implementing a VHDL condition coverage or expression coverage expression.
  805. ; More rows leads to a longer compile time, but more expressions covered.
  806. ; CoverMaxUDPRows = 192
  807. ; Increase or decrease the maximum number of input patterns that are present
  808. ; in FEC table. This leads to a longer compile time with more expressions
  809. ; covered with FEC metric.
  810. ; CoverMaxFECRows = 192
  811. ; Increase or decrease the limit on the size of expressions and conditions
  812. ; considered for expression and condition coverages. Higher FecUdpEffort leads
  813. ; to higher compile, optimize and simulation time, but more expressions and
  814. ; conditions are considered for coverage in the design. FecUdpEffort can
  815. ; be set to a number ranging from 1 (low) to 3 (high), defined as:
  816. ; 1 - (low) Only small expressions and conditions considered for coverage.
  817. ; 2 - (medium) Bigger expressions and conditions considered for coverage.
  818. ; 3 - (high) Very large expressions and conditions considered for coverage.
  819. ; The default setting is 1 (low).
  820. ; FecUdpEffort = 1
  821. ; Enable or disable Focused Expression Coverage analysis for conditions and
  822. ; expressions. Focused Expression Coverage data is provided by default when
  823. ; expression and/or condition coverage is active.
  824. ; CoverFEC = 0
  825. ; Enable or disable UDP Coverage analysis for conditions and expressions.
  826. ; UDP Coverage data is disabled by default when expression and/or condition
  827. ; coverage is active.
  828. ; CoverUDP = 1
  829. ; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
  830. ; Disabling this would convert non-masking conditions in FEC tables to matching
  831. ; input patterns.
  832. ; CoverREC = 1
  833. ; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
  834. ; for expression/condition coverage.
  835. ; NOTE: Enabling this may have a negative impact on simulation performance.
  836. ; CoverExpandReductionPrefix = 0
  837. ; Enable or disable short circuit evaluation of conditions and expressions when
  838. ; condition or expression coverage is active. Short circuit evaluation is enabled
  839. ; by default.
  840. ; CoverShortCircuit = 0
  841. ; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
  842. ; Default is no deglitching.
  843. ; CoverDeglitchOn = 1
  844. ; Control the code coverage deglitching period. A period of 0, eliminates delta
  845. ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
  846. ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
  847. ; CoverDeglitchPeriod = 0
  848. ; Turn on code coverage in VLOG `celldefine modules, modules containing
  849. ; specify blocks, and modules included using vlog -v and -y. Default is off.
  850. ; CoverCells = 1
  851. ; Enable code coverage reporting of code that has been optimized away.
  852. ; The default is not to report.
  853. ; CoverReportCancelled = 1
  854. ; Control compiler and VOPT optimizations that are allowed when
  855. ; code coverage is on. This is a number from 0 to 5, with the following
  856. ; meanings (the default is 3):
  857. ; 5 -- All allowable optimizations are on.
  858. ; 4 -- Turn off removing unreferenced code.
  859. ; 3 -- Turn off process, always block and if statement merging.
  860. ; 2 -- Turn off expression optimization, converting primitives
  861. ; to continuous assignments, VHDL subprogram inlining.
  862. ; and VHDL clkOpt (converting FF's to builtins).
  863. ; 1 -- Turn off continuous assignment optimizations and clock suppression.
  864. ; 0 -- Turn off Verilog module inlining and VHDL arch inlining.
  865. ; HOWEVER, if fsm coverage is turned on, optimizations will be forced to
  866. ; level 3, with also turning off converting primitives to continuous assigns.
  867. ; CoverOpt = 3
  868. ; Specify the override for the default value of "cross_num_print_missing"
  869. ; option for the Cross in Covergroups. If not specified then LRM default
  870. ; value of 0 (zero) is used. This is a compile time option.
  871. ; SVCrossNumPrintMissingDefault = 0
  872. ; Setting following to 1 would cause creation of variables which
  873. ; would represent the value of Coverpoint expressions. This is used
  874. ; in conjunction with "SVCoverpointExprVariablePrefix" option
  875. ; in the modelsim.ini
  876. ; EnableSVCoverpointExprVariable = 0
  877. ; Specify the override for the prefix used in forming the variable names
  878. ; which represent the Coverpoint expressions. This is used in conjunction with
  879. ; "EnableSVCoverpointExprVariable" option of the modelsim.ini
  880. ; The default prefix is "expr".
  881. ; The variable name is
  882. ; variable name => <prefix>_<coverpoint name>
  883. ; SVCoverpointExprVariablePrefix = expr
  884. ; Override for the default value of the SystemVerilog covergroup,
  885. ; coverpoint, and cross option.goal (defined to be 100 in the LRM).
  886. ; NOTE: It does not override specific assignments in SystemVerilog
  887. ; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
  888. ; in the [vsim] section can override this value.
  889. ; SVCovergroupGoalDefault = 100
  890. ; Override for the default value of the SystemVerilog covergroup,
  891. ; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
  892. ; NOTE: It does not override specific assignments in SystemVerilog
  893. ; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
  894. ; in the [vsim] section can override this value.
  895. ; SVCovergroupTypeGoalDefault = 100
  896. ; Specify the override for the default value of "strobe" option for the
  897. ; Covergroup Type. This is a compile time option which forces "strobe" to
  898. ; a user specified default value and supersedes SystemVerilog specified
  899. ; default value of '0'(zero). NOTE: This can be overriden by a runtime
  900. ; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
  901. ; SVCovergroupStrobeDefault = 0
  902. ; Specify the override for the default value of "per_instance" option for the
  903. ; Covergroup variables. This is a compile time option which forces "per_instance"
  904. ; to a user specified default value and supersedes SystemVerilog specified
  905. ; default value of '0'(zero).
  906. ; SVCovergroupPerInstanceDefault = 0
  907. ; Specify the override for the default value of "get_inst_coverage" option for the
  908. ; Covergroup variables. This is a compile time option which forces
  909. ; "get_inst_coverage" to a user specified default value and supersedes
  910. ; SystemVerilog specified default value of '0'(zero).
  911. ; SVCovergroupGetInstCoverageDefault = 0
  912. ;
  913. ; A space separated list of resource libraries that contain precompiled
  914. ; packages. The behavior is identical to using the "-L" switch.
  915. ;
  916. ; LibrarySearchPath = <path/lib> [<path/lib> ...]
  917. LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact
  918. ; The behavior is identical to the "-mixedansiports" switch. Default is off.
  919. ; MixedAnsiPorts = 1
  920. ; Enable SystemVerilog 3.1a $typeof() function. Default is off.
  921. ; EnableTypeOf = 1
  922. ; Only allow lower case pragmas. Default is disabled.
  923. ; AcceptLowerCasePragmaOnly = 1
  924. ; Set the maximum depth permitted for a recursive include file nesting.
  925. ; IncludeRecursionDepthMax = 5
  926. ; Turn ON detection of FSMs having single bit current state variable.
  927. ; FsmSingle = 1
  928. ; Turn off reset state transitions in FSM.
  929. ; FsmResetTrans = 0
  930. ; Turn off detections of FSMs having x-assignment.
  931. ; FsmXAssign = 0
  932. ; Turn ON detection of FSM Implicit Transitions.
  933. ; FsmImplicitTrans = 1
  934. ; List of file suffixes which will be read as SystemVerilog. White space
  935. ; in extensions can be specified with a back-slash: "\ ". Back-slashes
  936. ; can be specified with two consecutive back-slashes: "\\";
  937. ; SvFileSuffixes = sv svp svh
  938. ; This setting is the same as the vlog -sv command line switch.
  939. ; Enables SystemVerilog features and keywords when true (1).
  940. ; When false (0), the rules of IEEE Std 1364-2001 are followed and
  941. ; SystemVerilog keywords are ignored.
  942. ; Svlog = 0
  943. ; Prints attribute placed upon SV packages during package import
  944. ; when true (1). The attribute will be ignored when this
  945. ; entry is false (0). The attribute name is "package_load_message".
  946. ; The value of this attribute is a string literal.
  947. ; Default is true (1).
  948. ; PrintSVPackageLoadingAttribute = 1
  949. ; Do not show immediate assertions with constant expressions in
  950. ; GUI/reports/UCDB etc. By default immediate assertions with constant
  951. ; expressions are shown in GUI/reports/UCDB etc. This does not affect
  952. ; evaluation of immediate assertions.
  953. ; ShowConstantImmediateAsserts = 0
  954. ; Controls if untyped parameters that are initialized with values greater
  955. ; than 2147483647 are mapped to generics of type INTEGER or ignored.
  956. ; If mapped to VHDL Integers, values greater than 2147483647
  957. ; are mapped to negative values.
  958. ; Default is to map these parameter to generic of type INTEGER
  959. ; ForceUnsignedToVHDLInteger = 1
  960. ; Enable AMS wreal (wired real) extensions. Default is 0.
  961. ; WrealType = 1
  962. ; Controls SystemVerilog Language Extensions. These options enable
  963. ; some non-LRM compliant behavior.
  964. ; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
  965. ; Generate symbols debugging database in only some special cases to save on
  966. ; the number of files in the library. For other design-units, this database is
  967. ; generated on-demand in vsim.
  968. ; Default is to to generate debugging database for all design-units.
  969. ; SmartDbgSym = 1
  970. ; Controls how $unit library entries are named. Valid options are:
  971. ; "file" (generate name based on the first file on the command line)
  972. ; "du" (generate name based on first design unit following an item
  973. ; found in $unit scope)
  974. ; CUAutoName = file
  975. ; Enable or disable automatic creation of missing libraries.
  976. ; Default is 1 (enabled)
  977. ; CreateLib = 1
  978. [sccom]
  979. ; Enable use of SCV include files and library. Default is off.
  980. ; UseScv = 1
  981. ; Add C++ compiler options to the sccom command line by using this variable.
  982. ; CppOptions = -g
  983. ; Use custom C++ compiler located at this path rather than the default path.
  984. ; The path should point directly at a compiler executable.
  985. ; CppPath = /usr/bin/g++
  986. ; Specify the compiler version from the list of support GNU compilers.
  987. ; examples 4.3.3, 4.5.0
  988. ; CppInstall = 4.5.0
  989. ; Enable verbose messages from sccom. Default is off.
  990. ; SccomVerbose = 1
  991. ; sccom logfile. Default is no logfile.
  992. ; SccomLogfile = sccom.log
  993. ; Enable use of SC_MS include files and library. Default is off.
  994. ; UseScMs = 1
  995. ; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off.
  996. ; Sc22Mode = 1
  997. ; Enable compiler statistics. Specify one or more arguments:
  998. ; [all,none,time,cmd,msg,perf,verbose,list,kb]
  999. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  1000. ; Stats = time,cmd,msg
  1001. ; Enable or disable automatic creation of missing libraries.
  1002. ; Default is 1 (enabled)
  1003. ; CreateLib = 1
  1004. [vopt]
  1005. ; Turn on code coverage in vopt. Default is off.
  1006. ; Coverage = sbceft
  1007. ; Control compiler optimizations that are allowed when
  1008. ; code coverage is on. Refer to the comment for this in the [vlog] area.
  1009. ; CoverOpt = 3
  1010. ; Controls set of CoverConstructs that are being considered for Coverage
  1011. ; Collection. (Default is covermode 2).
  1012. ; Covermode = 2
  1013. ; Controls set of HDL cover constructs that would be considered(or not considered)
  1014. ; for Coverage Collection. (Default corresponds to covermode 2).
  1015. ; Valid options are: "ca", "citf", "cifl", "tcint", "fsmqs".
  1016. ; Coverconstruct = ca,citf,li
  1017. ; Increase or decrease the maximum number of rows allowed in a UDP table
  1018. ; implementing a VHDL condition coverage or expression coverage expression.
  1019. ; More rows leads to a longer compile time, but more expressions covered.
  1020. ; CoverMaxUDPRows = 192
  1021. ; Increase or decrease the maximum number of input patterns that are present
  1022. ; in FEC table. This leads to a longer compile time with more expressions
  1023. ; covered with FEC metric.
  1024. ; CoverMaxFECRows = 192
  1025. ; Increase or decrease the limit on the size of expressions and conditions
  1026. ; considered for expression and condition coverages. Higher FecUdpEffort leads
  1027. ; to higher compile, optimize and simulation time, but more expressions and
  1028. ; conditions are considered for coverage in the design. FecUdpEffort can
  1029. ; be set to a number ranging from 1 (low) to 3 (high), defined as:
  1030. ; 1 - (low) Only small expressions and conditions considered for coverage.
  1031. ; 2 - (medium) Bigger expressions and conditions considered for coverage.
  1032. ; 3 - (high) Very large expressions and conditions considered for coverage.
  1033. ; The default setting is 1 (low).
  1034. ; FecUdpEffort = 1
  1035. ; Enable code coverage reporting of code that has been optimized away.
  1036. ; The default is not to report.
  1037. ; CoverReportCancelled = 1
  1038. ; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
  1039. ; Default is no deglitching.
  1040. ; CoverDeglitchOn = 1
  1041. ; Enable compiler statistics. Specify one or more arguments:
  1042. ; [all,none,time,cmd,msg,perf,verbose,list,kb]
  1043. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  1044. ; Stats = time,cmd,msg
  1045. ; Control the code coverage deglitching period. A period of 0, eliminates delta
  1046. ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
  1047. ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
  1048. ; CoverDeglitchPeriod = 0
  1049. ; Do not show immediate assertions with constant expressions in
  1050. ; GUI/reports/UCDB etc. By default immediate assertions with constant
  1051. ; expressions are shown in GUI/reports/UCDB etc. This does not affect
  1052. ; evaluation of immediate assertions.
  1053. ; ShowConstantImmediateAsserts = 0
  1054. ; Set the maximum number of iterations permitted for a generate loop.
  1055. ; Restricting this permits the implementation to recognize infinite
  1056. ; generate loops.
  1057. ; GenerateLoopIterationMax = 100000
  1058. ; Set the maximum depth permitted for a recursive generate instantiation.
  1059. ; Restricting this permits the implementation to recognize infinite
  1060. ; recursions.
  1061. ; GenerateRecursionDepthMax = 200
  1062. ; Set the number of processes created during the code generation phase.
  1063. ; By default a heuristic is used to set this value. This may be set to 0
  1064. ; to disable this feature completely.
  1065. ; ParallelJobs = 0
  1066. ; Controls SystemVerilog Language Extensions. These options enable
  1067. ; some non-LRM compliant behavior.
  1068. ; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
  1069. ; Load the specified shared objects with the RTLD_GLOBAL flag.
  1070. ; This gives global visibility to all symbols in the shared objects,
  1071. ; meaning that subsequently loaded shared objects can bind to symbols
  1072. ; in the global shared objects. The list of shared objects should
  1073. ; be whitespace delimited. This option is not supported on the
  1074. ; Windows or AIX platforms.
  1075. ; GlobalSharedObjectList = example1.so example2.so example3.so
  1076. ; Disable SystemVerilog elaboration system task messages
  1077. ; IgnoreSVAInfo = 1
  1078. ; IgnoreSVAWarning = 1
  1079. ; IgnoreSVAError = 1
  1080. ; IgnoreSVAFatal = 1
  1081. ; Enable or disable automatic creation of missing libraries.
  1082. ; Default is 1 (enabled)
  1083. ; CreateLib = 1
  1084. [vsim]
  1085. ; vopt flow
  1086. ; Set to turn on automatic optimization of a design.
  1087. ; Default is on
  1088. VoptFlow = 1
  1089. ; Simulator resolution
  1090. ; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
  1091. Resolution = fs
  1092. ; Disable certain code coverage exclusions automatically.
  1093. ; Assertions and FSM are exluded from the code coverage by default
  1094. ; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
  1095. ; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
  1096. ; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
  1097. ; Or specify comma or space separated list
  1098. ;AutoExclusionsDisable = fsm,assertions
  1099. ; User time unit for run commands
  1100. ; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
  1101. ; unit specified for Resolution. For example, if Resolution is 100ps,
  1102. ; then UserTimeUnit defaults to ps.
  1103. ; Should generally be set to default.
  1104. UserTimeUnit = default
  1105. ; Default run length
  1106. RunLength = 100
  1107. ; Maximum iterations that can be run without advancing simulation time
  1108. IterationLimit = 10000000
  1109. ; Specify libraries to be searched for precompiled modules
  1110. ; LibrarySearchPath = <path/lib> [<path/lib> ...]
  1111. ; Set XPROP assertion fail limit. Default is 5.
  1112. ; Any positive integer, -1 for infinity.
  1113. ; XpropAssertionLimit = 5
  1114. ; Control PSL and Verilog Assume directives during simulation
  1115. ; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
  1116. ; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
  1117. ; SimulateAssumeDirectives = 1
  1118. ; Control the simulation of PSL and SVA
  1119. ; These switches can be overridden by the vsim command line switches:
  1120. ; -psl, -nopsl, -sva, -nosva.
  1121. ; Set SimulatePSL = 0 to disable PSL simulation
  1122. ; Set SimulatePSL = 1 to enable PSL simulation (default)
  1123. ; SimulatePSL = 1
  1124. ; Set SimulateSVA = 0 to disable SVA simulation
  1125. ; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
  1126. ; SimulateSVA = 1
  1127. ; Control SVA and VHDL immediate assertion directives during simulation
  1128. ; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts
  1129. ; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts
  1130. ; SimulateImmedAsserts = 1
  1131. ; License feature mappings for Verilog and VHDL
  1132. ; qhsimvh Single language VHDL license
  1133. ; qhsimvl Single language Verilog license
  1134. ; msimhdlsim Language neutral license for either Verilog or VHDL
  1135. ; msimhdlmix Second language only, language neutral license for either
  1136. ; Verilog or VHDL
  1137. ;
  1138. ; Directives to license manager can be set either as single value or as
  1139. ; space separated multi-values:
  1140. ; vhdl Immediately checkout and hold a VHDL license (i.e., one of
  1141. ; qhsimvh, msimhdlsim, or msimhdlmix)
  1142. ; vlog Immediately checkout and hold a Verilog license (i.e., one of
  1143. ; qhsimvl, msimhdlsim, or msimhdlmix)
  1144. ; plus Immediately checkout and hold a VHDL license and a Verilog license
  1145. ; noqueue Do not wait in the license queue when a license is not available
  1146. ; viewsim Try for viewer license but accept simulator license(s) instead
  1147. ; of queuing for viewer license (PE ONLY)
  1148. ; noviewer Disable checkout of msimviewer license feature (PE ONLY)
  1149. ; noslvhdl Disable checkout of qhsimvh license feature
  1150. ; noslvlog Disable checkout of qhsimvl license feature
  1151. ; nomix Disable checkout of msimhdlmix license feature
  1152. ; nolnl Disable checkout of msimhdlsim license feature
  1153. ; mixedonly Disable checkout of qhsimvh and qhsimvl license features
  1154. ; lnlonly Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features
  1155. ;
  1156. ; Examples (remove ";" comment character to activate licensing directives):
  1157. ; Single directive:
  1158. ; License = plus
  1159. ; Multi-directive (Note: space delimited directives):
  1160. ; License = noqueue plus
  1161. ; Severity level of a VHDL assertion message or of a SystemVerilog severity system task
  1162. ; which will cause a running simulation to stop.
  1163. ; VHDL assertions and SystemVerilog severity system task that occur with the
  1164. ; given severity or higher will cause a running simulation to stop.
  1165. ; This value is ignored during elaboration.
  1166. ; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal
  1167. BreakOnAssertion = 3
  1168. ; Severity level of a tool message which will cause a running simulation to
  1169. ; stop. This value is ignored during elaboration. Default is to not break.
  1170. ; 0 = Note 1 = Warning 2 = Error 3 = Fatal
  1171. ;BreakOnMessage = 2
  1172. ; The class debug feature enables more visibility and tracking of class instances
  1173. ; during simulation. By default this feature is disabled (0). To enable this
  1174. ; feature set ClassDebug to 1.
  1175. ; ClassDebug = 1
  1176. ; Message Format conversion specifications:
  1177. ; %S - Severity Level of message/assertion
  1178. ; %R - Text of message
  1179. ; %T - Time of message
  1180. ; %D - Delta value (iteration number) of Time
  1181. ; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
  1182. ; %i - Instance/Region/Signal pathname with Process name (if available)
  1183. ; %I - shorthand for one of these:
  1184. ; " %K: %i"
  1185. ; " %K: %i File: %F" (when path is not Process or Signal)
  1186. ; except that the %i in this case does not report the Process name
  1187. ; %O - Process name
  1188. ; %P - Instance/Region path without leaf process
  1189. ; %F - File name
  1190. ; %L - Line number; if assertion message, then line number of assertion or, if
  1191. ; assertion is in a subprogram, line from which the call is made
  1192. ; %u - Design unit name in form library.primary
  1193. ; %U - Design unit name in form library.primary(secondary)
  1194. ; %% - The '%' character itself
  1195. ;
  1196. ; If specific format for Severity Level is defined, use that format.
  1197. ; Else, for a message that occurs during elaboration:
  1198. ; -- Failure/Fatal message in VHDL region that is not a Process, and in
  1199. ; certain non-VHDL regions, uses MessageFormatBreakLine;
  1200. ; -- Failure/Fatal message otherwise uses MessageFormatBreak;
  1201. ; -- Note/Warning/Error message uses MessageFormat.
  1202. ; Else, for a message that occurs during runtime and triggers a breakpoint because
  1203. ; of the BreakOnAssertion setting:
  1204. ; -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
  1205. ; -- otherwise uses MessageFormatBreak.
  1206. ; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
  1207. ;
  1208. ; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n"
  1209. ; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n"
  1210. ; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n"
  1211. ; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n"
  1212. ; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n"
  1213. ; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n"
  1214. ; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n"
  1215. ; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n"
  1216. ; Error File - alternate file for storing error messages
  1217. ; ErrorFile = error.log
  1218. ; Simulation Breakpoint messages
  1219. ; This flag controls the display of function names when reporting the location
  1220. ; where the simulator stops because of a breakpoint or fatal error.
  1221. ; Example with function name: # Break in Process ctr at counter.vhd line 44
  1222. ; Example without function name: # Break at counter.vhd line 44
  1223. ; Default value is 1.
  1224. ShowFunctions = 1
  1225. ; Default radix for all windows and commands.
  1226. ; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned
  1227. ; Flags may be one of: enumnumeric, showbase
  1228. DefaultRadix = hexadecimal
  1229. DefaultRadixFlags = showbase
  1230. ; Set to 1 for make the signal_force VHDL and Verilog functions use the
  1231. ; default radix when processing the force value. Prior to 10.2 signal_force
  1232. ; used the default radix, now it always uses symbolic unless value explicitly indicates base
  1233. ;SignalForceFunctionUseDefaultRadix = 0
  1234. ; VSIM Startup command
  1235. ; Startup = do startup.do
  1236. ; VSIM Shutdown file
  1237. ; Filename to save u/i formats and configurations.
  1238. ; ShutdownFile = restart.do
  1239. ; To explicitly disable auto save:
  1240. ; ShutdownFile = --disable-auto-save
  1241. ; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified.
  1242. ; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0.
  1243. ; BatchMode = 1
  1244. ; File for saving command transcript when -batch option used
  1245. ; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero
  1246. ; default is unset so command transcript only goes to stdout for better performance
  1247. ; BatchTranscriptFile = transcript
  1248. ; File for saving command transcript, this option is ignored when -batch option is used
  1249. TranscriptFile = transcript
  1250. ; Transcript file long line wrapping mode(s)
  1251. ; mode == 0 :: no wrapping, line recorded as is
  1252. ; mode == 1 :: wrap at first whitespace after WSColumn
  1253. ; or at Column.
  1254. ; mode == 2 :: wrap as above, but add continuation
  1255. ; character ('\') at end of each wrapped line
  1256. ;
  1257. ; WrapMode = 0
  1258. ; WrapColumn = 30000
  1259. ; WrapWSColumn = 27000
  1260. ; File for saving command history
  1261. ; CommandHistory = cmdhist.log
  1262. ; Specify whether paths in simulator commands should be described
  1263. ; in VHDL or Verilog format.
  1264. ; For VHDL, PathSeparator = /
  1265. ; For Verilog, PathSeparator = .
  1266. ; Must not be the same character as DatasetSeparator.
  1267. PathSeparator = /
  1268. ; Specify the dataset separator for fully rooted contexts.
  1269. ; The default is ':'. For example: sim:/top
  1270. ; Must not be the same character as PathSeparator.
  1271. DatasetSeparator = :
  1272. ; Specify a unique path separator for the Signal Spy set of functions.
  1273. ; The default will be to use the PathSeparator variable.
  1274. ; Must not be the same character as DatasetSeparator.
  1275. ; SignalSpyPathSeparator = /
  1276. ; Used to control parsing of HDL identifiers input to the tool.
  1277. ; This includes CLI commands, vsim/vopt/vlog/vcom options,
  1278. ; string arguments to FLI/VPI/DPI calls, etc.
  1279. ; If set to 1, accept either Verilog escaped Id syntax or
  1280. ; VHDL extended id syntax, regardless of source language.
  1281. ; If set to 0, the syntax of the source language must be used.
  1282. ; Each identifier in a hierarchical name may need different syntax,
  1283. ; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
  1284. ; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
  1285. ; GenerousIdentifierParsing = 1
  1286. ; Disable VHDL assertion messages
  1287. ; IgnoreNote = 1
  1288. ; IgnoreWarning = 1
  1289. ; IgnoreError = 1
  1290. ; IgnoreFailure = 1
  1291. ; Disable SystemVerilog assertion messages
  1292. ; IgnoreSVAInfo = 1
  1293. ; IgnoreSVAWarning = 1
  1294. ; IgnoreSVAError = 1
  1295. ; IgnoreSVAFatal = 1
  1296. ; Do not print any additional information from Severity System tasks.
  1297. ; Only the message provided by the user is printed along with severity
  1298. ; information.
  1299. ; SVAPrintOnlyUserMessage = 1;
  1300. ; Default force kind. May be freeze, drive, deposit, or default
  1301. ; or in other terms, fixed, wired, or charged.
  1302. ; A value of "default" will use the signal kind to determine the
  1303. ; force kind, drive for resolved signals, freeze for unresolved signals
  1304. ; DefaultForceKind = freeze
  1305. ; Control the iteration of events when a VHDL signal is forced to a value
  1306. ; This flag can be set to honour the signal update event in next iteration,
  1307. ; the default is to update and propagate in the same iteration.
  1308. ; ForceSigNextIter = 1
  1309. ; Enable simulation statistics. Specify one or more arguments:
  1310. ; [all,none,time,cmd,msg,perf,verbose,list,kb,eor]
  1311. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  1312. ; Stats = time,cmd,msg
  1313. ; If zero, open files when elaborated; otherwise, open files on
  1314. ; first read or write. Default is 0.
  1315. ; DelayFileOpen = 1
  1316. ; Control VHDL files opened for write.
  1317. ; 0 = Buffered, 1 = Unbuffered
  1318. UnbufferedOutput = 0
  1319. ; Control the number of VHDL files open concurrently.
  1320. ; This number should always be less than the current ulimit
  1321. ; setting for max file descriptors.
  1322. ; 0 = unlimited
  1323. ConcurrentFileLimit = 40
  1324. ; If nonzero, close files as soon as there is either an explicit call to
  1325. ; file_close, or when the file variable's scope is closed. When zero, a
  1326. ; file opened in append mode is not closed in case it is immediately
  1327. ; reopened in append mode; otherwise, the file will be closed at the
  1328. ; point it is reopened.
  1329. ; AppendClose = 1
  1330. ; Control the number of hierarchical regions displayed as
  1331. ; part of a signal name shown in the Wave window.
  1332. ; A value of zero tells VSIM to display the full name.
  1333. ; The default is 0.
  1334. ; WaveSignalNameWidth = 0
  1335. ; Turn off warnings when changing VHDL constants and generics
  1336. ; Default is 1 to generate warning messages
  1337. ; WarnConstantChange = 0
  1338. ; Turn off warnings from accelerated versions of the std_logic_arith,
  1339. ; std_logic_unsigned, and std_logic_signed packages.
  1340. ; StdArithNoWarnings = 1
  1341. ; Turn off warnings from accelerated versions of the IEEE numeric_std
  1342. ; and numeric_bit packages.
  1343. ; NumericStdNoWarnings = 1
  1344. ; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names
  1345. ; in the design hierarchy.
  1346. ; This style is controlled by the value of the GenerateFormat
  1347. ; value described next. Default is to use new-style names, which
  1348. ; comprise the generate statement label, '(', the value of the generate
  1349. ; parameter, and a closing ')'.
  1350. ; Set this to 1 to use old-style names.
  1351. ; OldVhdlForGenNames = 1
  1352. ; Control the format of the old-style VHDL FOR generate statement region
  1353. ; name for each iteration. Do not quote the value.
  1354. ; The format string here must contain the conversion codes %s and %d,
  1355. ; in that order, and no other conversion codes. The %s represents
  1356. ; the generate statement label; the %d represents the generate parameter value
  1357. ; at a particular iteration (this is the position number if the generate parameter
  1358. ; is of an enumeration type). Embedded whitespace is allowed (but discouraged);
  1359. ; leading and trailing whitespace is ignored.
  1360. ; Application of the format must result in a unique region name over all
  1361. ; loop iterations for a particular immediately enclosing scope so that name
  1362. ; lookup can function properly. The default is %s__%d.
  1363. ; GenerateFormat = %s__%d
  1364. ; Enable more efficient logging of VHDL Variables.
  1365. ; Logging VHDL variables without this enabled, while possible, is very
  1366. ; inefficient. Enabling this will provide a more efficient logging methodology
  1367. ; at the expense of more memory usage. By default this feature is disabled (0).
  1368. ; To enabled this feature, set this variable to 1.
  1369. ; VhdlVariableLogging = 1
  1370. ; Enable logging of VHDL access type variables and their designated objects.
  1371. ; This setting will allow both variables of an access type ("access variables")
  1372. ; and their designated objects ("access objects") to be logged. Logging a
  1373. ; variable of an access type will automatically also cause the designated
  1374. ; object(s) of that variable to be logged as the simulation progresses.
  1375. ; Further, enabling this allows access objects to be logged by name. By default
  1376. ; this feature is disabled (0). To enable this feature, set this variable to 1.
  1377. ; Enabling this will automatically enable the VhdlVariableLogging feature also.
  1378. ; AccessObjDebug = 1
  1379. ; Make each VHDL package in a PDU has its own separate copy of the package instead
  1380. ; of sharing the package between PDUs. The default is to share packages.
  1381. ; To ensure that each PDU has its own set of packages, set this variable to 1.
  1382. ; VhdlSeparatePduPackage = 1
  1383. ; Specify whether checkpoint files should be compressed.
  1384. ; The default is 1 (compressed).
  1385. ; CheckpointCompressMode = 0
  1386. ; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
  1387. ; Use custom gcc compiler located at this path rather than the default path.
  1388. ; The path should point directly at a compiler executable.
  1389. ; DpiCppPath = <your-gcc-installation>/bin/gcc
  1390. ; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
  1391. ; The term "out-of-the-blue" refers to SystemVerilog export function calls
  1392. ; made from C functions that don't have the proper context setup
  1393. ; (as is the case when running under "DPI-C" import functions).
  1394. ; When this is enabled, one can call a DPI export function
  1395. ; (but not task) from any C code.
  1396. ; the setting of this variable can be one of the following values:
  1397. ; 0 : dpioutoftheblue call is disabled (default)
  1398. ; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
  1399. ; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
  1400. ; DpiOutOfTheBlue = 1
  1401. ; Specify whether continuous assignments are run before other normal priority
  1402. ; processes scheduled in the same iteration. This event ordering minimizes race
  1403. ; differences between optimized and non-optimized designs, and is the default
  1404. ; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
  1405. ; ImmediateContinuousAssign to 0.
  1406. ; The default is 1 (enabled).
  1407. ; ImmediateContinuousAssign = 0
  1408. ; List of dynamically loaded objects for Verilog PLI applications
  1409. ; Veriuser = veriuser.sl
  1410. ; Which default VPI object model should the tool conform to?
  1411. ; The 1364 modes are Verilog-only, for backwards compatibility with older
  1412. ; libraries, and SystemVerilog objects are not available in these modes.
  1413. ;
  1414. ; In the absence of a user-specified default, the tool default is the
  1415. ; latest available LRM behavior.
  1416. ; Options for PliCompatDefault are:
  1417. ; VPI_COMPATIBILITY_VERSION_1364v1995
  1418. ; VPI_COMPATIBILITY_VERSION_1364v2001
  1419. ; VPI_COMPATIBILITY_VERSION_1364v2005
  1420. ; VPI_COMPATIBILITY_VERSION_1800v2005
  1421. ; VPI_COMPATIBILITY_VERSION_1800v2008
  1422. ;
  1423. ; Synonyms for each string are also recognized:
  1424. ; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
  1425. ; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
  1426. ; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
  1427. ; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
  1428. ; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
  1429. ; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
  1430. ; Specify whether the Verilog system task $fopen or vpi_mcd_open()
  1431. ; will create directories that do not exist when opening the file
  1432. ; in "a" or "w" mode.
  1433. ; The default is 0 (do not create non-existent directories)
  1434. ; CreateDirForFileAccess = 1
  1435. ; Specify default options for the restart command. Options can be one
  1436. ; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
  1437. ; DefaultRestartOptions = -force
  1438. ; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used.
  1439. ; Valid options include: all, none, verbose, disable, struct, reseed, msglog, trlog, certe.
  1440. ; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-".
  1441. ; The list of options must be delimited by commas, without spaces or tabs.
  1442. ;
  1443. ; Some examples
  1444. ; To turn on all available UVM-aware debug features:
  1445. ; UVMControl = all
  1446. ; To turn on the struct window, mesage logging, and transaction logging:
  1447. ; UVMControl = struct,msglog,trlog
  1448. ; To turn on all options except certe:
  1449. ; UVMControl = all,-certe
  1450. ; To completely disable all UVM-aware debug functionality:
  1451. ; UVMControl = disable
  1452. ; Specify the WildcardFilter setting.
  1453. ; A space separated list of object types to be excluded when performing
  1454. ; wildcard matches with log, wave, etc commands. The default value for this variable is:
  1455. ; "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile"
  1456. ; See "Using the WildcardFilter Preference Variable" in the documentation for
  1457. ; details on how to use this variable and for descriptions of the filter types.
  1458. WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile
  1459. ; Specify the WildcardSizeThreshold setting.
  1460. ; This integer setting specifies the size at which objects will be excluded when
  1461. ; performing wildcard matches with log, wave, etc commands. Objects of size equal
  1462. ; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard
  1463. ; matches. The size is a simple calculation of number of bits or items in the object.
  1464. ; The default value is 8k (8192). Setting this value to 0 will disable the checking
  1465. ; of object size against this threshold and allow all objects of any size to be logged.
  1466. WildcardSizeThreshold = 8192
  1467. ; Specify whether warning messages are output when objects are filtered out due to the
  1468. ; WildcardSizeThreshold. The default is 0 (no messages generated).
  1469. WildcardSizeThresholdVerbose = 0
  1470. ; Turn on (1) or off (0) WLF file compression.
  1471. ; The default is 1 (compress WLF file).
  1472. ; WLFCompress = 0
  1473. ; Specify whether to save all design hierarchy (1) in the WLF file
  1474. ; or only regions containing logged signals (0).
  1475. ; The default is 0 (save only regions with logged signals).
  1476. ; WLFSaveAllRegions = 1
  1477. ; WLF file time limit. Limit WLF file by time, as closely as possible,
  1478. ; to the specified amount of simulation time. When the limit is exceeded
  1479. ; the earliest times get truncated from the file.
  1480. ; If both time and size limits are specified the most restrictive is used.
  1481. ; UserTimeUnits are used if time units are not specified.
  1482. ; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms}
  1483. ; WLFTimeLimit = 0
  1484. ; WLF file size limit. Limit WLF file size, as closely as possible,
  1485. ; to the specified number of megabytes. If both time and size limits
  1486. ; are specified then the most restrictive is used.
  1487. ; The default is 0 (no limit).
  1488. ; WLFSizeLimit = 1000
  1489. ; Specify whether or not a WLF file should be deleted when the
  1490. ; simulation ends. A value of 1 will cause the WLF file to be deleted.
  1491. ; The default is 0 (do not delete WLF file when simulation ends).
  1492. ; WLFDeleteOnQuit = 1
  1493. ; Specify whether or not a WLF file should be optimized during
  1494. ; simulation. If set to 0, the WLF file will not be optimized.
  1495. ; The default is 1, optimize the WLF file.
  1496. ; WLFOptimize = 0
  1497. ; Specify the name of the WLF file.
  1498. ; The default is vsim.wlf
  1499. ; WLFFilename = vsim.wlf
  1500. ; Specify whether to lock the WLF file.
  1501. ; Locking the file prevents other invocations of ModelSim/Questa tools from
  1502. ; inadvertently overwriting the WLF file.
  1503. ; The default is 1, lock the WLF file.
  1504. ; WLFFileLock = 0
  1505. ; Specify the update interval for the WLF file in live simulation.
  1506. ; The interval is given in seconds.
  1507. ; The value is the smallest interval between WLF file updates. The WLF file
  1508. ; will be flushed (updated) after (at least) the interval has elapsed, ensuring
  1509. ; that the data is correct when viewed from a separate viewer.
  1510. ; A value of 0 means that no updating will occur.
  1511. ; The default value is 10 seconds.
  1512. ; WLFUpdateInterval = 10
  1513. ; Specify the WLF cache size limit for WLF files.
  1514. ; The value is given in megabytes. A value of 0 turns off the cache.
  1515. ; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes).
  1516. ; On Windows, the default value is 1000 (megabytes) to help to avoid filling
  1517. ; process memory.
  1518. ; WLFSimCacheSize allows a different cache size to be set for a live simulation
  1519. ; WLF file, independent of post-simulation WLF file viewing. If WLFSimCacheSize
  1520. ; is not set, it defaults to the WLFCacheSize value.
  1521. ; WLFCacheSize = 2000
  1522. ; WLFSimCacheSize = 500
  1523. ; Specify the WLF file event collapse mode.
  1524. ; 0 = Preserve all events and event order. (same as -wlfnocollapse)
  1525. ; 1 = Only record values of logged objects at the end of a simulator iteration.
  1526. ; (same as -wlfcollapsedelta)
  1527. ; 2 = Only record values of logged objects at the end of a simulator time step.
  1528. ; (same as -wlfcollapsetime)
  1529. ; The default is 1.
  1530. ; WLFCollapseMode = 0
  1531. ; Specify whether WLF file logging can use threads on multi-processor machines.
  1532. ; If 0, no threads will be used; if 1, threads will be used if the system has
  1533. ; more than one processor.
  1534. ; WLFUseThreads = 1
  1535. ; Specify the size of objects that will trigger "large object" messages
  1536. ; at log/wave/list time. The size calculation of the object is the same as that
  1537. ; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000.
  1538. ; Setting LargeObjectSize to 0 will disable these messages.
  1539. ; LargeObjectSize = 500000
  1540. ; Specify the depth of stack frames returned by $stacktrace([level]).
  1541. ; This depth will be picked up when the optional 'level' argument
  1542. ; is not specified or its value is not a positive integer.
  1543. ; StackTraceDepth = 100
  1544. ; Turn on/off undebuggable SystemC type warnings. Default is on.
  1545. ; ShowUndebuggableScTypeWarning = 0
  1546. ; Turn on/off unassociated SystemC name warnings. Default is off.
  1547. ; ShowUnassociatedScNameWarning = 1
  1548. ; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
  1549. ; ScShowIeeeDeprecationWarnings = 1
  1550. ; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
  1551. ; ScEnableScSignalWriteCheck = 1
  1552. ; Set SystemC default time unit.
  1553. ; Set to fs, ps, ns, us, ms, or sec with optional
  1554. ; prefix of 1, 10, or 100. The default is 1 ns.
  1555. ; The ScTimeUnit value is honored if it is coarser than Resolution.
  1556. ; If ScTimeUnit is finer than Resolution, it is set to the value
  1557. ; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
  1558. ; then the default time unit will be 1 ns. However if Resolution
  1559. ; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
  1560. ScTimeUnit = ns
  1561. ; Set SystemC sc_main stack size. The stack size is set as an integer
  1562. ; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
  1563. ; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
  1564. ; on the amount of data on the sc_main() stack and the memory required
  1565. ; to succesfully execute the longest function call chain of sc_main().
  1566. ScMainStackSize = 10 Mb
  1567. ; Set SystemC thread stack size. The stack size is set as an integer
  1568. ; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
  1569. ; Gb(Giga-byte). The stack size for sc_thread depends
  1570. ; on the amount of data on the sc_thread stack and the memory required
  1571. ; to succesfully execute the thread.
  1572. ; ScStackSize = 1 Mb
  1573. ; Turn on/off execution of remainder of sc_main upon quitting the current
  1574. ; simulation session. If the cumulative length of sc_main() in terms of
  1575. ; simulation time units is less than the length of the current simulation
  1576. ; run upon quit or restart, sc_main() will be in the middle of execution.
  1577. ; This switch gives the option to execute the remainder of sc_main upon
  1578. ; quitting simulation. The drawback of not running sc_main till the end
  1579. ; is memory leaks for objects created by sc_main. If on, the remainder of
  1580. ; sc_main will be executed ignoring all delays. This may cause the simulator
  1581. ; to crash if the code in sc_main is dependent on some simulation state.
  1582. ; Default is on.
  1583. ScMainFinishOnQuit = 1
  1584. ; Enable calling of the DPI export taks/functions from the
  1585. ; SystemC start_of_simulation() callback.
  1586. ; The default is off.
  1587. ; EnableDpiSosCb = 1
  1588. ; Set the SCV relationship name that will be used to identify phase
  1589. ; relations. If the name given to a transactor relation matches this
  1590. ; name, the transactions involved will be treated as phase transactions
  1591. ScvPhaseRelationName = mti_phase
  1592. ; Customize the vsim kernel shutdown behavior at the end of the simulation.
  1593. ; Some common causes of the end of simulation are $finish (implicit or explicit),
  1594. ; sc_stop(), tf_dofinish(), and assertion failures.
  1595. ; This should be set to "ask", "exit", or "stop". The default is "ask".
  1596. ; "ask" -- In batch mode, the vsim kernel will abruptly exit.
  1597. ; In GUI mode, a dialog box will pop up and ask for user confirmation
  1598. ; whether or not to quit the simulation.
  1599. ; "stop" -- Cause the simulation to stay loaded in memory. This can make some
  1600. ; post-simulation tasks easier.
  1601. ; "exit" -- The simulation will abruptly exit without asking for any confirmation.
  1602. ; "final" -- Run SystemVerilog final blocks then behave as "stop".
  1603. ; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
  1604. OnFinish = ask
  1605. ; Print pending deferred assertion messages.
  1606. ; Deferred assertion messages may be scheduled after the $finish in the same
  1607. ; time step. Deferred assertions scheduled to print after the $finish are
  1608. ; printed before exiting with severity level NOTE since it's not known whether
  1609. ; the assertion is still valid due to being printed in the active region
  1610. ; instead of the reactive region where they are normally printed.
  1611. ; OnFinishPendingAssert = 1;
  1612. ; Print "simstats" result. Default is 0.
  1613. ; 0 == do not print simstats
  1614. ; 1 == print at end of simulation
  1615. ; 2 == print at end of each run command and end of simulation
  1616. ; PrintSimStats = 1
  1617. ; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
  1618. ; AssertFile = assert.log
  1619. ; Enable assertion counts. Default is off.
  1620. ; AssertionCover = 1
  1621. ; Run simulator in assertion debug mode. Default is off.
  1622. ; AssertionDebug = 1
  1623. ; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
  1624. ; AssertionEnable = 0
  1625. ; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
  1626. ; Any positive integer, -1 for infinity.
  1627. ; AssertionLimit = 1
  1628. ; Turn on/off concurrent assertion pass log. Default is off.
  1629. ; Assertion pass logging is only enabled when assertion is browseable
  1630. ; and assertion debug is enabled.
  1631. ; AssertionPassLog = 1
  1632. ; Turn on/off PSL concurrent assertion fail log. Default is on.
  1633. ; The flag does not affect SVA
  1634. ; AssertionFailLog = 0
  1635. ; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on.
  1636. ; AssertionFailLocalVarLog = 0
  1637. ; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
  1638. ; 0 = Continue 1 = Break 2 = Exit
  1639. ; AssertionFailAction = 1
  1640. ; Enable the active thread monitor in the waveform display when assertion debug is enabled.
  1641. ; AssertionActiveThreadMonitor = 1
  1642. ; Control how many waveform rows will be used for displaying the active threads. Default is 5.
  1643. ; AssertionActiveThreadMonitorLimit = 5
  1644. ; Assertion thread limit after which assertion would be killed/switched off.
  1645. ; The default is -1 (unlimited). If the number of threads for an assertion go
  1646. ; beyond this limit, the assertion would be either switched off or killed. This
  1647. ; limit applies to only assert directives.
  1648. ;AssertionThreadLimit = -1
  1649. ; Action to be taken once the assertion thread limit is reached. Default
  1650. ; is kill. It can have a value of off or kill. In case of kill, all the existing
  1651. ; threads are terminated and no new attempts are started. In case of off, the
  1652. ; existing attempts keep on evaluating but no new attempts are started. This
  1653. ; variable applies to only assert directives.
  1654. ;AssertionThreadLimitAction = kill
  1655. ; Cover thread limit after which cover would be killed/switched off.
  1656. ; The default is -1 (unlimited). If the number of threads for a cover go
  1657. ; beyond this limit, the cover would be either switched off or killed. This
  1658. ; limit applies to only cover directives.
  1659. ;CoverThreadLimit = -1
  1660. ; Action to be taken once the cover thread limit is reached. Default
  1661. ; is kill. It can have a value of off or kill. In case of kill, all the existing
  1662. ; threads are terminated and no new attempts are started. In case of off, the
  1663. ; existing attempts keep on evaluating but no new attempts are started. This
  1664. ; variable applies to only cover directives.
  1665. ;CoverThreadLimitAction = kill
  1666. ; By default immediate assertions do not participate in Assertion Coverage calculations
  1667. ; unless they are executed. This switch causes all immediate assertions in the design
  1668. ; to participate in Assertion Coverage calculations, whether attempted or not.
  1669. ; UnattemptedImmediateAssertions = 0
  1670. ; By default immediate covers participate in Coverage calculations
  1671. ; whether they are attempted or not. This switch causes all unattempted
  1672. ; immediate covers in the design to stop participating in Coverage
  1673. ; calculations.
  1674. ; UnattemptedImmediateCovers = 0
  1675. ; By default pass action block is not executed for assertions on vacuous
  1676. ; success. The following variable is provided to enable execution of
  1677. ; pass action block on vacuous success. The following variable is only effective
  1678. ; if the user does not disable pass action block execution by using either
  1679. ; system tasks or CLI. Also there is a performance penalty for enabling
  1680. ; the following variable.
  1681. ;AssertionEnableVacuousPassActionBlock = 1
  1682. ; As per strict 1850-2005 PSL LRM, an always property can either pass
  1683. ; or fail. However, by default, Questa reports multiple passes and
  1684. ; multiple fails on top always/never property (always/never operator
  1685. ; is the top operator under Verification Directive). The reason
  1686. ; being that Questa reports passes and fails on per attempt of the
  1687. ; top always/never property. Use the following flag to instruct
  1688. ; Questa to strictly follow LRM. With this flag, all assert/never
  1689. ; directives will start an attempt once at start of simulation.
  1690. ; The attempt can either fail, match or match vacuously.
  1691. ; For e.g. if always is the top operator under assert, the always will
  1692. ; keep on checking the property at every clock. If the property under
  1693. ; always fails, the directive will be considered failed and no more
  1694. ; checking will be done for that directive. A top always property,
  1695. ; if it does not fail, will show a pass at end of simulation.
  1696. ; The default value is '0' (i.e. zero is off). For example:
  1697. ; PslOneAttempt = 1
  1698. ; Specify the number of clock ticks to represent infinite clock ticks.
  1699. ; This affects eventually!, until! and until_!. If at End of Simulation
  1700. ; (EOS) an active strong-property has not clocked this number of
  1701. ; clock ticks then neither pass or fail (vacuous match) is returned
  1702. ; else respective fail/pass is returned. The default value is '0' (zero)
  1703. ; which effectively does not check for clock tick condition. For example:
  1704. ; PslInfinityThreshold = 5000
  1705. ; Control how many thread start times will be preserved for ATV viewing for a given assertion
  1706. ; instance. Default is -1 (ALL).
  1707. ; ATVStartTimeKeepCount = -1
  1708. ; Turn on/off code coverage
  1709. ; CodeCoverage = 0
  1710. ; This option applies to condition and expression coverage UDP tables. It
  1711. ; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp.
  1712. ; If this option is used and a match occurs in more than one row in the UDP table,
  1713. ; none of the counts for all matching rows is incremented. By default, counts are
  1714. ; incremented for all matching rows.
  1715. ; CoverCountAll = 1
  1716. ; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
  1717. ; is to include them.
  1718. ; ToggleNoIntegers = 1
  1719. ; Set the maximum number of values that are collected for toggle coverage of
  1720. ; VHDL integers. Default is 100;
  1721. ; ToggleMaxIntValues = 100
  1722. ; Set the maximum number of values that are collected for toggle coverage of
  1723. ; Verilog real. Default is 100;
  1724. ; ToggleMaxRealValues = 100
  1725. ; Turn on automatic inclusion of Verilog integers in toggle coverage, except
  1726. ; for enumeration types. Default is to include them.
  1727. ; ToggleVlogIntegers = 0
  1728. ; Turn on automatic inclusion of Verilog real type in toggle coverage, except
  1729. ; for shortreal types. Default is to not include them.
  1730. ; ToggleVlogReal = 1
  1731. ; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
  1732. ; and VHDL arrays-of-arrays in toggle coverage.
  1733. ; Default is to not include them.
  1734. ; ToggleFixedSizeArray = 1
  1735. ; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
  1736. ; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
  1737. ; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
  1738. ; Default is 1024.
  1739. ; ToggleMaxFixedSizeArray = 1024
  1740. ; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
  1741. ; one-dimensional packed vectors for toggle coverage. Default is 0.
  1742. ; TogglePackedAsVec = 0
  1743. ; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
  1744. ; toggle coverage. Default is 0.
  1745. ; ToggleVlogEnumBits = 0
  1746. ; Turn off automatic inclusion of VHDL records in toggle coverage.
  1747. ; Default is to include them.
  1748. ; ToggleVHDLRecords = 0
  1749. ; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
  1750. ; For unlimited width, set to 0.
  1751. ; ToggleWidthLimit = 128
  1752. ; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
  1753. ; reached this count, further activity on the bit is ignored. Default is 1.
  1754. ; For unlimited counts, set to 0.
  1755. ; ToggleCountLimit = 1
  1756. ; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
  1757. ; Following is the toggle coverage calculation criteria based on extended toggle mode:
  1758. ; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
  1759. ; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
  1760. ; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
  1761. ; ExtendedToggleMode = 3
  1762. ; Enable toggle statistics collection only for ports. Default is 0.
  1763. ; TogglePortsOnly = 1
  1764. ; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has
  1765. ; reached this count, further tracking of the input patterns linked to it is ignored.
  1766. ; Default is 1. For unlimited counts, set to 0.
  1767. ; NOTE: Changing this value from its default value may affect simulation performance.
  1768. ; FecCountLimit = 1
  1769. ; Limit the counts that are tracked for UDP Coverage. When a bin has
  1770. ; reached this count, further tracking of the input patterns linked to it is ignored.
  1771. ; Default is 1. For unlimited counts, set to 0.
  1772. ; NOTE: Changing this value from its default value may affect simulation performance.
  1773. ; UdpCountLimit = 1
  1774. ; Control toggle coverage deglitching period. A period of 0, eliminates delta
  1775. ; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either
  1776. ; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
  1777. ; ToggleDeglitchPeriod = 10.0ps
  1778. ; Turn on/off all PSL/SVA cover directive enables. Default is on.
  1779. ; CoverEnable = 0
  1780. ; Turn on/off PSL/SVA cover log. Default is off "0".
  1781. ; CoverLog = 1
  1782. ; Set "at_least" value for all PSL/SVA cover directives. Default is 1.
  1783. ; CoverAtLeast = 2
  1784. ; Set "limit" value for all PSL/SVA cover directives. Default is -1.
  1785. ; Any positive integer, -1 for infinity.
  1786. ; CoverLimit = 1
  1787. ; Specify the coverage database filename.
  1788. ; Default is "" (i.e. database is NOT automatically saved on close).
  1789. ; UCDBFilename = vsim.ucdb
  1790. ; Specify the maximum limit for the number of Cross (bin) products reported
  1791. ; in XML and UCDB report against a Cross. A warning is issued if the limit
  1792. ; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
  1793. ; setting.
  1794. ; MaxReportRhsSVCrossProducts = 1000
  1795. ; Specify the override for the "auto_bin_max" option for the Covergroups.
  1796. ; If not specified then value from Covergroup "option" is used.
  1797. ; SVCoverpointAutoBinMax = 64
  1798. ; Specify the override for the value of "cross_num_print_missing"
  1799. ; option for the Cross in Covergroups. If not specified then value
  1800. ; specified in the "option.cross_num_print_missing" is used. This
  1801. ; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
  1802. ; value specified by user in source file and any SVCrossNumPrintMissingDefault
  1803. ; specified in modelsim.ini.
  1804. ; SVCrossNumPrintMissing = 0
  1805. ; Specify whether to use the value of "cross_num_print_missing"
  1806. ; option in report and GUI for the Cross in Covergroups. If not specified then
  1807. ; cross_num_print_missing is ignored for creating reports and displaying
  1808. ; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
  1809. ; UseSVCrossNumPrintMissing = 0
  1810. ; Specify the threshold of Coverpoint wildcard bin value range size, above which
  1811. ; a warning will be triggered. The default is 4K -- 12 wildcard bits.
  1812. ; SVCoverpointWildCardBinValueSizeWarn = 4096
  1813. ; Specify the override for the value of "strobe" option for the
  1814. ; Covergroup Type. If not specified then value in "type_option.strobe"
  1815. ; will be used. This is runtime option which forces "strobe" to
  1816. ; user specified value and supersedes user specified values in the
  1817. ; SystemVerilog Code. NOTE: This also overrides the compile time
  1818. ; default value override specified using "SVCovergroupStrobeDefault"
  1819. ; SVCovergroupStrobe = 0
  1820. ; Override for explicit assignments in source code to "option.goal" of
  1821. ; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
  1822. ; default value of "option.goal" (defined to be 100 in the SystemVerilog
  1823. ; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
  1824. ; SVCovergroupGoal = 100
  1825. ; Override for explicit assignments in source code to "type_option.goal" of
  1826. ; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
  1827. ; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
  1828. ; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
  1829. ; SVCovergroupTypeGoal = 100
  1830. ; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
  1831. ; builtin functions, and report. This setting changes the default values of
  1832. ; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
  1833. ; behavior if explicit assignments are not made on option.get_inst_coverage and
  1834. ; type_option.merge_instances by the user. There are two vsim command line
  1835. ; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
  1836. ; The default value of this variable from release 6.6 onwards is 0. This default
  1837. ; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
  1838. ; SVCovergroup63Compatibility = 0
  1839. ; Enforce the default behavior of covergroup get_coverage() builtin function, GUI
  1840. ; and report. This variable sets the default value of type_option.merge_instances.
  1841. ; There are two vsim command line options, -cvgmergeinstances and
  1842. ; -nocvgmergeinstances to override this setting from vsim command line.
  1843. ; The default value of this variable, -1 (don't care), allows the tool to determine
  1844. ; the effective value, based on factors related to capacity and optimization.
  1845. ; The type_option.merge_instances appears in the GUI and coverage reports as either
  1846. ; auto(1) or auto(0), depending on whether the effective value was determined to
  1847. ; be a 1 or a 0.
  1848. ; SVCovergroupMergeInstancesDefault = -1
  1849. ; Enable or disable generation of more detailed information about the sampling
  1850. ; of covergroup, cross, and coverpoints. It provides the details of the number
  1851. ; of times the covergroup instance and type were sampled, as well as details
  1852. ; about why covergroup, cross and coverpoint were not covered. A non-zero value
  1853. ; is to enable this feature. 0 is to disable this feature. Default is 0
  1854. ; SVCovergroupSampleInfo = 0
  1855. ; Specify the maximum number of Coverpoint bins in whole design for
  1856. ; all Covergroups.
  1857. ; MaxSVCoverpointBinsDesign = 2147483648
  1858. ; Specify maximum number of Coverpoint bins in any instance of a Covergroup, default is 2^10 bins
  1859. ; MaxSVCoverpointBinsInst = 1048576
  1860. ; Specify the maximum number of Cross bins in whole design for
  1861. ; all Covergroups.
  1862. ; MaxSVCrossBinsDesign = 2147483648
  1863. ; Specify maximum number of Cross bins in any instance of a Covergroup, default is 2^16 bins
  1864. ; MaxSVCrossBinsInst = 67108864
  1865. ; Specify whether vsim will collect the coverage data of zero-weight coverage items or not.
  1866. ; By default, this variable is set 0, in which case option.no_collect setting will take effect.
  1867. ; If this variable is set to 1, all zero-weight coverage items will not be saved.
  1868. ; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting
  1869. ; of this variable.
  1870. ; CvgZWNoCollect = 1
  1871. ; Specify a space delimited list of double quoted TCL style
  1872. ; regular expressions which will be matched against the text of all messages.
  1873. ; If any regular expression is found to be contained within any message, the
  1874. ; status for that message will not be propagated to the UCDB TESTSTATUS.
  1875. ; If no match is detected, then the status will be propagated to the
  1876. ; UCDB TESTSTATUS. More than one such regular expression text is allowed,
  1877. ; and each message text is compared for each regular expression in the list.
  1878. ; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message"
  1879. ; Set weight for all PSL/SVA cover directives. Default is 1.
  1880. ; CoverWeight = 2
  1881. ; Check vsim plusargs. Default is 0 (off).
  1882. ; 0 = Don't check plusargs
  1883. ; 1 = Warning on unrecognized plusarg
  1884. ; 2 = Error and exit on unrecognized plusarg
  1885. ; CheckPlusargs = 1
  1886. ; Load the specified shared objects with the RTLD_GLOBAL flag.
  1887. ; This gives global visibility to all symbols in the shared objects,
  1888. ; meaning that subsequently loaded shared objects can bind to symbols
  1889. ; in the global shared objects. The list of shared objects should
  1890. ; be whitespace delimited. This option is not supported on the
  1891. ; Windows or AIX platforms.
  1892. ; GlobalSharedObjectList = example1.so example2.so example3.so
  1893. ; Generate the stub definitions for the undefined symbols in the shared libraries being
  1894. ; loaded in the simulation. When this flow is turned on, the undefined symbols will not
  1895. ; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error.
  1896. ; The valid arguments are: on, off, verbose.
  1897. ; on : turn on the automatic generation of stub definitions.
  1898. ; off: turn off the flow. The undefined symbols will trigger an immediate load failure.
  1899. ; verbose: Turn on the flow and report the undefined symbols for each shared library.
  1900. ; NOTE: This variable can be overriden with vsim switch "-undefsyms".
  1901. ; The default is off.
  1902. ;
  1903. ; UndefSyms = on
  1904. ; Initial seed for the random number generator of the root thread (SystemVerilog).
  1905. ; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
  1906. ; The default value is 0.
  1907. ; Sv_Seed = 0
  1908. ; Specify the solver "engine" that vsim will select for constrained random
  1909. ; generation.
  1910. ; Valid values are:
  1911. ; "auto" - automatically select the best engine for the current
  1912. ; constraint scenario
  1913. ; "bdd" - evaluate all constraint scenarios using the BDD solver engine
  1914. ; "act" - evaluate all constraint scenarios using the ACT solver engine
  1915. ; While the BDD solver engine is generally efficient with constraint scenarios
  1916. ; involving bitwise logical relationships, the ACT solver engine can exhibit
  1917. ; superior performance with constraint scenarios involving large numbers of
  1918. ; random variables related via arithmetic operators (+, *, etc).
  1919. ; NOTE: This variable can be overridden with the vsim "-solveengine" command
  1920. ; line switch.
  1921. ; The default value is "auto".
  1922. ; SolveEngine = auto
  1923. ; Specify if the solver should attempt to ignore overflow/underflow semantics
  1924. ; for arithmetic constraints (multiply, addition, subtraction) in order to
  1925. ; improve performance. The "solveignoreoverflow" attribute can be specified on
  1926. ; a per-call basis to randomize() to override this setting.
  1927. ; The default value is 0 (overflow/underflow is not ignored). Set to 1 to
  1928. ; ignore overflow/underflow.
  1929. ; SolveIgnoreOverflow = 0
  1930. ; Specifies the maximum size that a dynamic array may be resized to by the
  1931. ; solver. If the solver attempts to resize a dynamic array to a size greater
  1932. ; than the specified limit, the solver will abort with an error.
  1933. ; The default value is 10000. A value of 0 indicates no limit.
  1934. ; SolveArrayResizeMax = 10000
  1935. ; Error message severity when randomize() failure is detected.
  1936. ; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal
  1937. ; The default is 0 (no error).
  1938. ; SolveFailSeverity = 0
  1939. ; Error message severity for suppressible errors that are detected in a
  1940. ; solve/before constraint.
  1941. ; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity"
  1942. ; command line switch.
  1943. ; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal
  1944. ; The default is 3 (failure).
  1945. ; SolveBeforeErrorSeverity = 3
  1946. ; Error message severity for suppressible errors that are related to
  1947. ; solve engine capacity limits
  1948. ; NOTE: This variable can be overridden with the vsim "-solveengineerrorseverity"
  1949. ; command line switch.
  1950. ; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal
  1951. ; The default is 3 (failure).
  1952. ; SolveEngineErrorSeverity = 3
  1953. ; Enable/disable debug information for randomize() failures.
  1954. ; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command
  1955. ; line switch.
  1956. ; The default is 0 (disabled). Set to 1 to enable basic debug (with no
  1957. ; performance penalty). Set to 2 for enhanced debug (will result in slower
  1958. ; runtime performance).
  1959. ; SolveFailDebug = 0
  1960. ; Upon encountering a randomize() failure, generate a simplified testcase that
  1961. ; will reproduce the failure. Optionally output the testcase to a file.
  1962. ; Testcases for 'no-solution' failures will only be produced if SolveFailDebug
  1963. ; is enabled (see above).
  1964. ; NOTE: This variable can be overridden with the vsim "-solvefailtestcase"
  1965. ; command line switch.
  1966. ; The default is OFF (do not generate a testcase). To enable testcase
  1967. ; generation, uncomment this variable. To redirect testcase generation to a
  1968. ; file, specify the name of the output file.
  1969. ; SolveFailTestcase =
  1970. ; Specify solver timeout threshold (in seconds). randomize() will fail if the
  1971. ; CPU time required to evaluate any randset exceeds the specified timeout.
  1972. ; The default value is 500. A value of 0 will disable timeout failures.
  1973. ; SolveTimeout = 500
  1974. ; Specify the maximum size of the solution graph generated by the BDD solver.
  1975. ; This value can be used to force the BDD solver to abort the evaluation of a
  1976. ; complex constraint scenario that cannot be evaluated with finite memory.
  1977. ; This value is specified in 1000s of nodes.
  1978. ; The default value is 10000. A value of 0 indicates no limit.
  1979. ; SolveGraphMaxSize = 10000
  1980. ; Specify the maximum number of evaluations that may be performed on the
  1981. ; solution graph by the BDD solver. This value can be used to force the BDD
  1982. ; solver to abort the evaluation of a complex constraint scenario that cannot
  1983. ; be evaluated in finite time. This value is specified in 10000s of evaluations.
  1984. ; The default value is 10000. A value of 0 indicates no limit.
  1985. ; SolveGraphMaxEval = 10000
  1986. ; Specify the maximum number of tests that the ACT solver may evaluate before
  1987. ; abandoning an attempt to solve a particular constraint scenario.
  1988. ; The default value is 2000000. A value of 0 indicates no limit.
  1989. ; SolveACTMaxTests = 2000000
  1990. ; Specify the maximum number of operations that the ACT solver may perform
  1991. ; before abandoning an attempt to solve a particular constraint scenario. The
  1992. ; value is specified in 1000000s of operations.
  1993. ; The default value is 10000. A value of 0 indicates no limit.
  1994. ; SolveACTMaxOps = 10000
  1995. ; Specify the number of times the ACT solver will retry to evaluate a constraint
  1996. ; scenario that fails due to the SolveACTMax[Tests|Ops] threshold.
  1997. ; The default value is 0 (no retry).
  1998. ; SolveACTRetryCount = 0
  1999. ; Specify random sequence compatiblity with a prior letter release. This
  2000. ; option is used to get the same random sequences during simulation as
  2001. ; as a prior letter release. Only prior letter releases (of the current
  2002. ; number release) are allowed.
  2003. ; NOTE: Only those random sequence changes due to solver optimizations are
  2004. ; reverted by this variable. Random sequence changes due to solver bugfixes
  2005. ; cannot be un-done.
  2006. ; NOTE: This variable can be overridden with the vsim "-solverev" command
  2007. ; line switch.
  2008. ; Default value set to "" (no compatibility).
  2009. ; SolveRev =
  2010. ; Environment variable expansion of command line arguments has been depricated
  2011. ; in favor shell level expansion. Universal environment variable expansion
  2012. ; inside -f files is support and continued support for MGC Location Maps provide
  2013. ; alternative methods for handling flexible pathnames.
  2014. ; The following line may be uncommented and the value set to 1 to re-enable this
  2015. ; deprecated behavior. The default value is 0.
  2016. ; DeprecatedEnvironmentVariableExpansion = 0
  2017. ; Specify the memory threshold for the System Verilog garbage collector.
  2018. ; The value is the number of megabytes of class objects that must accumulate
  2019. ; before the garbage collector is run.
  2020. ; The GCThreshold setting is used when class debug mode is disabled to allow
  2021. ; less frequent garbage collection and better simulation performance.
  2022. ; The GCThresholdClassDebug setting is used when class debug mode is enabled
  2023. ; to allow for more frequent garbage collection.
  2024. ; GCThreshold = 100
  2025. ; GCThresholdClassDebug = 5
  2026. ; Turn on/off collapsing of bus ports in VCD dumpports output
  2027. DumpportsCollapse = 1
  2028. ; Location of Multi-Level Verification Component (MVC) installation.
  2029. ; The default location is the product installation directory.
  2030. MvcHome = $MODEL_TECH/..
  2031. ; Location of InFact installation. The default is $MODEL_TECH/../../infact
  2032. ;
  2033. ; InFactHome = $MODEL_TECH/../../infact
  2034. ; Initialize SystemVerilog enums using the base type's default value
  2035. ; instead of the leftmost value.
  2036. ; EnumBaseInit = 1
  2037. ; Suppress file type registration.
  2038. ; SuppressFileTypeReg = 1
  2039. ; Enable/disable non-LRM compliant SystemVerilog language extensions.
  2040. ; Valid extensions are:
  2041. ; cfce - generate an error if $cast fails as a function
  2042. ; expdfmt - enable format string extensions for $display/$sformatf
  2043. ; fmtcap - prints capital hex digits with %X/%H in display calls
  2044. ; iddp - ignore DPI disable protocol check
  2045. ; lfmt - zero-pad data if '0' prefixes width in format specifier (e.g. "%04h")
  2046. ; realrand - support randomize() with real variables and constraints (Default)
  2047. ; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
  2048. ; Enable/disable non-LRM compliant SystemVerilog constrained-random language extensions.
  2049. ; Valid extensions are:
  2050. ; forkjoinstab - preserve parent thread random stability when seeding fork/join sub-threads (Default)
  2051. ; nonrandstab - disable seeding of "non-random" class instances (Default)
  2052. ; nodist - interpret 'dist' constraint as 'inside' (ACT only)
  2053. ; noorder - ignore solve/before ordering constraints (ACT only)
  2054. ; packrandidx - allow random index for packed variable in constraint (Default)
  2055. ; promotedist - promote priority of 'dist' constraint if LHS has no solve/before
  2056. ; randskew - skew randomize results (ACT only)
  2057. ; SvRandExtensions = [+|-]<extension>[,[+|-]<extension>*]
  2058. ; Controls the formatting of '%p' and '%P' conversion specification, used in $display
  2059. ; and similar system tasks.
  2060. ; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level.
  2061. ; The 'I' flag when present causes relevant data types to be expanded and indented into
  2062. ; a more readable format.
  2063. ; (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level).
  2064. ; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines.
  2065. ; (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines).
  2066. ; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters.
  2067. ; (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters).
  2068. ; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes
  2069. ; (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure).
  2070. ; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes
  2071. ; (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array).
  2072. ; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>.
  2073. ; (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5).
  2074. ; 7. Items 1-6 above can be combined as a comma separated list.
  2075. ; (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5)
  2076. ; SVPrettyPrintFlags=I4S
  2077. [lmc]
  2078. ; The simulator's interface to Logic Modeling's SmartModel SWIFT software
  2079. libsm = $MODEL_TECH/libsm.sl
  2080. ; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
  2081. ; libsm = $MODEL_TECH/libsm.dll
  2082. ; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
  2083. ; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
  2084. ; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
  2085. ; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
  2086. ; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
  2087. ; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
  2088. ; Logic Modeling's SmartModel SWIFT software (Windows NT)
  2089. ; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
  2090. ; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
  2091. ; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
  2092. ; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
  2093. ; libswift = $LMC_HOME/lib/linux.lib/libswift.so
  2094. ; The simulator's interface to Logic Modeling's hardware modeler SFI software
  2095. libhm = $MODEL_TECH/libhm.sl
  2096. ; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
  2097. ; libhm = $MODEL_TECH/libhm.dll
  2098. ; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
  2099. ; libsfi = <sfi_dir>/lib/hp700/libsfi.sl
  2100. ; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
  2101. ; libsfi = <sfi_dir>/lib/rs6000/libsfi.a
  2102. ; Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
  2103. ; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so
  2104. ; Logic Modeling's hardware modeler SFI software (Windows NT)
  2105. ; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll
  2106. ; Logic Modeling's hardware modeler SFI software (Linux)
  2107. ; libsfi = <sfi_dir>/lib/linux/libsfi.so
  2108. [msg_system]
  2109. ; Change a message severity or suppress a message.
  2110. ; The format is: <msg directive> = <msg number>[,<msg number>...]
  2111. ; suppress can be used to achieve +nowarn<CODE> functionality
  2112. ; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...]
  2113. ; Examples:
  2114. suppress = 8780 ;an explanation can be had by running: verror 8780
  2115. ; note = 3009
  2116. ; warning = 3033
  2117. ; error = 3010,3016
  2118. ; fatal = 3016,3033
  2119. ; suppress = 3009,3016,3601
  2120. ; suppress = 3009,CNNODP,3601,TFMPC
  2121. ; suppress = 8683,8684
  2122. ; The command verror <msg number> can be used to get the complete
  2123. ; description of a message.
  2124. ; Control transcripting of Verilog display system task messages and
  2125. ; PLI/FLI print function call messages. The system tasks include
  2126. ; $display[bho], $strobe[bho], $monitor[bho], and $write[bho]. They
  2127. ; also include the analogous file I/O tasks that write to STDOUT
  2128. ; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf,
  2129. ; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default
  2130. ; is to have messages appear only in the transcript. The other
  2131. ; settings are to send messages to the wlf file only (messages that
  2132. ; are recorded in the wlf file can be viewed in the MsgViewer) or
  2133. ; to both the transcript and the wlf file. The valid values are
  2134. ; tran {transcript only (default)}
  2135. ; wlf {wlf file only}
  2136. ; both {transcript and wlf file}
  2137. ; displaymsgmode = tran
  2138. ; Control transcripting of elaboration/runtime messages not
  2139. ; addressed by the displaymsgmode setting. The default is to
  2140. ; have messages appear only in the transcript. The other settings
  2141. ; are to send messages to the wlf file only (messages that are
  2142. ; recorded in the wlf file can be viewed in the MsgViewer) or to both
  2143. ; the transcript and the wlf file. The valid values are
  2144. ; tran {transcript only (default)}
  2145. ; wlf {wlf file only}
  2146. ; both {transcript and wlf file}
  2147. ; msgmode = tran
  2148. ; Controls number of displays of a particluar message
  2149. ; default value is 5
  2150. ; MsgLimitCount = 5
  2151. [utils]
  2152. ; Default Library Type (while creating a library with "vlib")
  2153. ; 0 - legacy library using subdirectories for design units
  2154. ; 2 - flat library
  2155. ; DefaultLibType = 2
  2156. ; Flat Library Page Size (while creating a library with "vlib")
  2157. ; Set the size in bytes for flat library file pages. Libraries containing
  2158. ; very large files may benefit from a larger value.
  2159. ; FlatLibPageSize = 8192
  2160. ; Flat Library Page Cleanup Percentage (while creating a library with "vlib")
  2161. ; Set the percentage of total pages deleted before library cleanup can occur.
  2162. ; This setting is applied together with FlatLibPageDeleteThreshold.
  2163. ; FlatLibPageDeletePercentage = 50
  2164. ; Flat Library Page Cleanup Threshold (while creating a library with "vlib")
  2165. ; Set the number of pages deleted before library cleanup can occur.
  2166. ; This setting is applied together with FlatLibPageDeletePercentage.
  2167. ; FlatLibPageDeleteThreshold = 1000