vivado_pid17916.str 169 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647164816491650165116521653165416551656165716581659166016611662166316641665166616671668166916701671167216731674167516761677167816791680168116821683168416851686168716881689169016911692169316941695169616971698169917001701170217031704170517061707170817091710171117121713171417151716171717181719172017211722172317241725172617271728172917301731173217331734173517361737173817391740174117421743174417451746174717481749175017511752175317541755175617571758175917601761176217631764176517661767176817691770177117721773177417751776177717781779178017811782178317841785178617871788178917901791179217931794179517961797179817991800180118021803180418051806180718081809181018111812181318141815181618171818181918201821182218231824182518261827182818291830183118321833183418351836183718381839184018411842184318441845184618471848184918501851185218531854185518561857185818591860186118621863186418651866186718681869187018711872187318741875187618771878187918801881188218831884188518861887188818891890189118921893189418951896189718981899190019011902190319041905190619071908190919101911191219131914191519161917191819191920192119221923192419251926192719281929193019311932193319341935193619371938193919401941194219431944194519461947194819491950195119521953195419551956195719581959196019611962196319641965196619671968196919701971197219731974197519761977197819791980198119821983198419851986198719881989199019911992199319941995199619971998199920002001200220032004200520062007200820092010201120122013201420152016201720182019202020212022202320242025202620272028202920302031203220332034203520362037203820392040204120422043204420452046204720482049205020512052205320542055205620572058205920602061206220632064206520662067206820692070207120722073207420752076207720782079208020812082208320842085208620872088208920902091209220932094209520962097209820992100210121022103210421052106210721082109211021112112211321142115211621172118211921202121212221232124212521262127212821292130213121322133213421352136213721382139214021412142214321442145214621472148214921502151215221532154215521562157215821592160216121622163216421652166216721682169217021712172217321742175217621772178217921802181218221832184218521862187218821892190219121922193219421952196219721982199220022012202220322042205220622072208220922102211221222132214221522162217221822192220222122222223222422252226222722282229223022312232223322342235223622372238223922402241224222432244224522462247224822492250225122522253225422552256225722582259226022612262226322642265226622672268226922702271227222732274227522762277227822792280228122822283228422852286228722882289229022912292229322942295229622972298229923002301230223032304230523062307230823092310231123122313231423152316231723182319232023212322232323242325
  1. /*
  2. Xilinx Vivado v2020.2 (64-bit) [Major: 2020, Minor: 2]
  3. SW Build: 3064766 on Wed Nov 18 09:12:45 MST 2020
  4. IP Build: 3064653 on Wed Nov 18 14:17:31 MST 2020
  5. Process ID (PID): 17916
  6. License: Customer
  7. Mode: GUI Mode
  8. Current time: Wed Aug 30 12:25:15 ICT 2023
  9. Time zone: Indochina Time (Asia/Bangkok)
  10. OS: Windows 10
  11. OS Version: 10.0
  12. OS Architecture: amd64
  13. Available processors (cores): 4
  14. Screen size: 1920x1080
  15. Screen resolution (DPI): 100
  16. Available screens: 2
  17. Default font: family=Dialog,name=Dialog,style=plain,size=12
  18. Scale size: 12
  19. Java version: 11.0.2 64-bit
  20. Java home: C:/Xilinx/Vivado/2020.2/tps/win64/jre11.0.2
  21. Java executable location: C:/Xilinx/Vivado/2020.2/tps/win64/jre11.0.2/bin/java.exe
  22. Java initial memory (-Xms): 128 MB
  23. Java maximum memory (-Xmx): 3 GB
  24. User name: Stepan
  25. User home directory: C:/Users/Stepan
  26. User working directory: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M
  27. User country: RU
  28. User language: ru
  29. User locale: ru_RU
  30. RDI_BASEROOT: C:/Xilinx/Vivado
  31. HDI_APPROOT: C:/Xilinx/Vivado/2020.2
  32. RDI_DATADIR: C:/Xilinx/Vivado/2020.2/data
  33. RDI_BINDIR: C:/Xilinx/Vivado/2020.2/bin
  34. Vivado preferences file location: C:/Users/Stepan/AppData/Roaming/Xilinx/Vivado/2020.2/vivado.xml
  35. Vivado preferences directory: C:/Users/Stepan/AppData/Roaming/Xilinx/Vivado/2020.2/
  36. Vivado layouts directory: C:/Users/Stepan/AppData/Roaming/Xilinx/Vivado/2020.2/data/layouts
  37. PlanAhead jar file location: C:/Xilinx/Vivado/2020.2/lib/classes/planAhead.jar
  38. Vivado log file location: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/vivado.log
  39. Vivado journal file location: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/vivado.jou
  40. Engine tmp dir: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/.Xil/Vivado-17916-DESKTOP-RMARCDV
  41. Xilinx Environment Variables
  42. ----------------------------
  43. XILINX: C:/Xilinx/Vivado/2020.2/ids_lite/ISE
  44. XILINX_DSP: C:/Xilinx/Vivado/2020.2/ids_lite/ISE
  45. XILINX_HLS: C:/Xilinx/Vitis_HLS/2020.2
  46. XILINX_PLANAHEAD: C:/Xilinx/Vivado/2020.2
  47. XILINX_SDK: C:/Xilinx/Vitis/2020.2
  48. XILINX_VITIS: C:/Xilinx/Vitis/2020.2
  49. XILINX_VIVADO: C:/Xilinx/Vivado/2020.2
  50. XILINX_VIVADO_HLS: C:/Xilinx/Vivado/2020.2
  51. GUI allocated memory: 157 MB
  52. GUI max memory: 3,072 MB
  53. Engine allocated memory: 1,123 MB
  54. Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
  55. */
  56. // TclEventType: START_GUI
  57. // Tcl Message: start_gui
  58. // TclEventType: PROJECT_OPEN_DIALOG
  59. // [GUI Memory]: 85 MB (+87154kb) [00:00:06]
  60. // [Engine Memory]: 1,123 MB (+1025903kb) [00:00:06]
  61. // bz (cr): Open Project : addNotify
  62. // Opening Vivado Project: C:\Users\Stepan\Desktop\4portCompact\S5443Current\S5443_M\S5443.xpr. Version: Vivado v2020.2
  63. // TclEventType: DEBUG_PROBE_SET_CHANGE
  64. // Tcl Message: open_project C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.xpr
  65. // TclEventType: MSGMGR_MOVEMSG
  66. // TclEventType: FILE_SET_CHANGE
  67. // TclEventType: FILE_SET_NEW
  68. // TclEventType: RUN_COMPLETED
  69. // TclEventType: RUN_STATUS_CHANGE
  70. // TclEventType: RUN_COMPLETED
  71. // TclEventType: RUN_STATUS_CHANGE
  72. // TclEventType: RUN_COMPLETED
  73. // TclEventType: RUN_STATUS_CHANGE
  74. // TclEventType: RUN_CURRENT
  75. // TclEventType: PROJECT_DASHBOARD_NEW
  76. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  77. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  78. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  79. // HMemoryUtils.trashcanNow. Engine heap size: 1,123 MB. GUI used memory: 55 MB. Current time: 8/30/23, 12:25:16 PM ICT
  80. // TclEventType: FILE_SET_CHANGE
  81. // TclEventType: PROJECT_NEW
  82. // [GUI Memory]: 105 MB (+16229kb) [00:00:12]
  83. // [GUI Memory]: 111 MB (+748kb) [00:00:13]
  84. // WARNING: HEventQueue.dispatchEvent() is taking 2776 ms.
  85. // Tcl Message: open_project C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.xpr
  86. // Tcl Message: Scanning sources... Finished scanning sources
  87. // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2020.2/data/ip'.
  88. // Tcl Message: open_project: Time (s): cpu = 00:00:14 ; elapsed = 00:00:08 . Memory (MB): peak = 1126.176 ; gain = 0.000
  89. // Project name: S5443; location: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M; part: xc7s25csga324-2
  90. dismissDialog("Open Project"); // bz
  91. // Tcl Command: 'rdi::info_commands {device::*}'
  92. // Tcl Command: 'rdi::info_commands {debug::*}'
  93. // Tcl Command: 'rdi::info_commands {*}'
  94. // [GUI Memory]: 120 MB (+3794kb) [00:00:17]
  95. // TclEventType: DG_GRAPH_STALE
  96. // TclEventType: FILE_SET_CHANGE
  97. // Tcl Message: update_compile_order -fileset sources_1
  98. // [GUI Memory]: 137 MB (+11482kb) [00:00:19]
  99. // a (cr): Critical Messages: addNotify
  100. // Elapsed time: 517 seconds
  101. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Coefficient Files]", 5); // D
  102. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 7); // D
  103. // Elapsed time: 13 seconds
  104. selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f
  105. dismissDialog("Critical Messages"); // a
  106. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, S5443Top.xdc]", 9, false); // D
  107. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, S5443Top.xdc]", 9, false, false, false, false, true, false); // D - Popup Trigger
  108. selectMenuItem(RDIResourceCommand.RDICommands_DELETE, "Remove File from Project..."); // ak
  109. // Run Command: RDIResourceCommand.RDICommands_DELETE
  110. // aE (cr): Remove Sources: addNotify
  111. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  112. dismissDialog("Remove Sources"); // aE
  113. // Tcl Message: export_ip_user_files -of_objects [get_files C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5443Top.xdc] -no_script -reset -force -quiet
  114. // TclEventType: FILE_SET_CHANGE
  115. // Tcl Message: remove_files -fileset constrs_1 C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5443Top.xdc
  116. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5443Top (S5443Top.v)]", 1); // D
  117. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5443Top (S5443Top.v)]", 1, true, false, false, false, true, false); // D - Popup Trigger - Node
  118. selectMenuItem(RDIResourceCommand.RDICommands_DELETE, "Remove File from Project..."); // ak
  119. // Run Command: RDIResourceCommand.RDICommands_DELETE
  120. // aE (cr): Remove Sources: addNotify
  121. selectCheckBox(PAResourceQtoS.RemoveSourcesDialog_ALSO_DELETE, "Also delete the project local file/directory from disk", false); // g: FALSE
  122. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  123. dismissDialog("Remove Sources"); // aE
  124. // Tcl Message: export_ip_user_files -of_objects [get_files C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/S5443Top.v] -no_script -reset -force -quiet
  125. // TclEventType: DG_GRAPH_STALE
  126. // TclEventType: FILE_SET_CHANGE
  127. // Tcl Message: remove_files C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/S5443Top.v
  128. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources]", 0, true); // D - Node
  129. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources]", 0, true, false, false, false, true, false); // D - Popup Trigger - Node
  130. selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // ah
  131. selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // ah
  132. selectMenuItem(PAResourceCommand.PACommandNames_ADD_SOURCES, "Add Sources..."); // ak
  133. // Run Command: PAResourceCommand.PACommandNames_ADD_SOURCES
  134. // c (cr): Add Sources: addNotify
  135. selectButton("NEXT", "Next >"); // JButton
  136. selectButton(PAResourceQtoS.SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT, "Add Files"); // a
  137. // TclEventType: DG_GRAPH_STALE
  138. // TclEventType: FILE_SET_CHANGE
  139. // Tcl Message: update_compile_order -fileset sources_1
  140. setFileChooser("C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/S5243Top.v");
  141. selectButton("FINISH", "Finish"); // JButton
  142. // 'g' command handler elapsed time: 5 seconds
  143. // TclEventType: FILE_SET_CHANGE
  144. dismissDialog("Add Sources"); // c
  145. // Tcl Message: add_files -norecurse C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/S5243Top.v
  146. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1]", 25, false); // D
  147. // TclEventType: DG_GRAPH_STALE
  148. // TclEventType: FILE_SET_CHANGE
  149. // [GUI Memory]: 151 MB (+6676kb) [00:09:28]
  150. // Tcl Message: update_compile_order -fileset sources_1
  151. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1]", 25, false, false, false, false, true, false); // D - Popup Trigger
  152. selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // ah
  153. selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // ah
  154. selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // ah
  155. selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // ah
  156. selectMenuItem(PAResourceCommand.PACommandNames_ADD_SOURCES, "Add Sources..."); // ak
  157. // Run Command: PAResourceCommand.PACommandNames_ADD_SOURCES
  158. // c (cr): Add Sources: addNotify
  159. selectButton("NEXT", "Next >"); // JButton
  160. selectButton(PAResourceAtoD.ConstraintsChooserPanel_ADD_FILES, "Add Files"); // a
  161. // TclEventType: DG_GRAPH_STALE
  162. // TclEventType: FILE_SET_CHANGE
  163. // Tcl Message: update_compile_order -fileset sources_1
  164. // G (cr): Invalid Top Module: addNotify
  165. dismissDialog("Invalid Top Module"); // G
  166. setFileChooser("C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc");
  167. selectButton("FINISH", "Finish"); // JButton
  168. // 'g' command handler elapsed time: 11 seconds
  169. dismissDialog("Add Sources"); // c
  170. // TclEventType: FILE_SET_CHANGE
  171. // Tcl Message: add_files -fileset constrs_1 -norecurse C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc
  172. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v)]", 1, true); // D - Node
  173. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v)]", 1, true, false, false, false, true, false); // D - Popup Trigger - Node
  174. selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // ah
  175. selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // ah
  176. selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ak
  177. // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
  178. // TclEventType: FILE_SET_CHANGE
  179. // TclEventType: FILE_SET_OPTIONS_CHANGE
  180. // TclEventType: DG_GRAPH_STALE
  181. // Tcl Message: set_property top S5243Top [current_fileset]
  182. // TclEventType: DG_GRAPH_STALE
  183. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1]", 8); // D
  184. collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1]", 8); // D
  185. // TclEventType: FILE_SET_CHANGE
  186. // Tcl Message: update_compile_order -fileset sources_1
  187. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "IP Sources", 1); // i
  188. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, IP, MeasDataFifo]", 2, true); // D - Node
  189. // Run Command: RDIResourceCommand.RDICommands_DELETE
  190. // aE (cr): Remove Sources: addNotify
  191. selectCheckBox(PAResourceQtoS.RemoveSourcesDialog_ALSO_DELETE, "Also delete the project local file/directory from disk", true); // g: TRUE
  192. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  193. dismissDialog("Remove Sources"); // aE
  194. // Tcl Message: export_ip_user_files -of_objects [get_files C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo_1/MeasDataFifo.xci] -no_script -reset -force -quiet
  195. // TclEventType: DG_GRAPH_STALE
  196. // TclEventType: FILE_SET_CHANGE
  197. // TclEventType: REPORT_IP_STATUS_STALE
  198. // TclEventType: PROJ_DESIGN_MODE_SET
  199. // aE (cr): Remove Sources: addNotify
  200. // bz (aE): Remove Sources : addNotify
  201. // TclEventType: PROJ_DESIGN_MODE_SET
  202. // Tcl Message: remove_files C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo_1/MeasDataFifo.xci
  203. // Tcl Message: file delete -force C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo_1 file delete -force c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo_1
  204. dismissDialog("Remove Sources"); // bz
  205. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Hierarchy", 0); // i
  206. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v)]", 1); // D
  207. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v), ExternalDspInterface : DspInterface (DspInterface.v)]", 4); // D
  208. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v), ExternalDspInterface : DspInterface (DspInterface.v), MeasDataFifoInst : MeasDataFifoWrapper (MeasDataFifoWrapper.v)]", 6); // D
  209. // Elapsed time: 32 seconds
  210. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Project Manager, IP Catalog]", 4, false); // u
  211. // Run Command: PAResourceCommand.PACommandNames_CORE_GEN
  212. // [GUI Memory]: 161 MB (+2758kb) [00:10:41]
  213. setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "fifo"); // OverlayTextField
  214. selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "FIFO Generator ; AXI4, AXI4-Stream ; Production ; Included ; xilinx.com:ip:fifo_generator:13.2", 12, "FIFO Generator", 0, true); // L - Node
  215. expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "FIFO Generator ; AXI4, AXI4-Stream ; Production ; Included ; xilinx.com:ip:fifo_generator:13.2", 12); // L
  216. selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "FIFO Generator ; AXI4, AXI4-Stream ; Production ; Included ; xilinx.com:ip:fifo_generator:13.2", 12, "FIFO Generator", 0, true, false, false, false, false, true); // L - Double Click - Node
  217. // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_CORE
  218. // TclEventType: LOAD_FEATURE
  219. // [GUI Memory]: 176 MB (+7821kb) [00:10:46]
  220. // r (cr): Customize IP: addNotify
  221. // WARNING: HEventQueue.dispatchEvent() is taking 1375 ms.
  222. setText("Component Name", "MeasDataFifo"); // B
  223. selectTab(PAResourceEtoH.HACGCTabbedPane_TABBED_PANE, (HResource) null, "Native Ports", 1); // bi
  224. setText("Input Data Width", "256"); // B
  225. selectComboBox("Write Depth (Input_Depth)", "4096", 8); // E
  226. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  227. // TclEventType: CREATE_IP_CORE
  228. dismissDialog("Customize IP"); // r
  229. // TclEventType: REPORT_IP_STATUS_STALE
  230. // TclEventType: FILE_SET_CHANGE
  231. // TclEventType: DG_GRAPH_STALE
  232. // TclEventType: FILE_SET_CHANGE
  233. // Tcl Message: create_ip -name fifo_generator -vendor xilinx.com -library ip -version 13.2 -module_name MeasDataFifo
  234. // bz (cr): Customize IP : addNotify
  235. // TclEventType: FILE_SET_CHANGE
  236. // TclEventType: FILESET_UPDATE_IP
  237. // Tcl Message: set_property -dict [list CONFIG.Component_Name {MeasDataFifo} CONFIG.Input_Data_Width {256} CONFIG.Input_Depth {4096} CONFIG.Output_Data_Width {256} CONFIG.Output_Depth {4096} CONFIG.Data_Count_Width {12} CONFIG.Write_Data_Count_Width {12} CONFIG.Read_Data_Count_Width {12} CONFIG.Full_Threshold_Assert_Value {4094} CONFIG.Full_Threshold_Negate_Value {4093}] [get_ips MeasDataFifo]
  238. // TclEventType: FILE_SET_CHANGE
  239. // Tcl Message: generate_target {instantiation_template} [get_files c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci]
  240. // Tcl Message: INFO: [IP_Flow 19-1686] Generating 'Instantiation Template' target for IP 'MeasDataFifo'...
  241. // aI (cr): Generate Output Products: addNotify
  242. dismissDialog("Customize IP"); // bz
  243. // TclEventType: FILE_SET_CHANGE
  244. // Tcl Message: update_compile_order -fileset sources_1
  245. selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a
  246. // bz (cr): Managing Output Products : addNotify
  247. // TclEventType: DG_GRAPH_STALE
  248. // TclEventType: FILE_SET_CHANGE
  249. // Tcl Message: generate_target all [get_files c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci]
  250. // Tcl Message: INFO: [IP_Flow 19-1686] Generating 'Synthesis' target for IP 'MeasDataFifo'... INFO: [IP_Flow 19-1686] Generating 'Simulation' target for IP 'MeasDataFifo'... INFO: [IP_Flow 19-1686] Generating 'Change Log' target for IP 'MeasDataFifo'...
  251. // Tcl Message: catch { config_ip_cache -export [get_ips -all MeasDataFifo] }
  252. // TclEventType: FILE_SET_CHANGE
  253. // TclEventType: OOC_IP_CACHE_USED
  254. // Tcl Message: INFO: [IP_Flow 19-4993] Using cached IP synthesis design for IP MeasDataFifo, cache-ID = 18a407e504ec43e0; cache size = 1433.977 MB.
  255. // TclEventType: PROJ_DESIGN_MODE_SET
  256. // Tcl Message: export_ip_user_files -of_objects [get_files c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci] -no_script -sync -force -quiet
  257. // Tcl Message: create_ip_run [get_files -of_objects [get_fileset sources_1] c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci]
  258. // Tcl Message: INFO: [Vivado 12-3453] The given sub-design is up-to-date, no action was taken. If a run is still desired, use the '-force' option for the file:'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci'
  259. // CommandFailedException: ERROR: [Common 17-70] Application Exception: BlockMgr::getBlockSynthRun: null fileset
  260. selectButton("OptionPane.button", "OK"); // JButton
  261. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "IP Sources", 1); // i
  262. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, IP, MeasDataFifo]", 2); // D
  263. collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, IP, MeasDataFifo]", 2); // D
  264. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Hierarchy", 0); // i
  265. // Elapsed time: 12 seconds
  266. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v), ExternalDspInterface : DspInterface (DspInterface.v), MeasDataFifoInst : MeasDataFifoWrapper (MeasDataFifoWrapper.v), MeasDataFifoInst : MeasDataFifo (MeasDataFifo.xci)]", 7); // D
  267. // TclEventType: DG_GRAPH_STALE
  268. // Elapsed time: 18 seconds
  269. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v), ExternalDspInterface : DspInterface (DspInterface.v), MeasDataFifoInst : MeasDataFifoWrapper (MeasDataFifoWrapper.v), MeasDataFifoInst : MeasDataFifo (MeasDataFifo.xci), MeasDataFifo(MeasDataFifo_arch) (MeasDataFifo.vhd)]", 8); // D
  270. collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v), ExternalDspInterface : DspInterface (DspInterface.v)]", 4); // D
  271. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v), InternalDsp : InternalDsp (InternalDsp.v)]", 6); // D
  272. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // u
  273. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  274. // bz (cr): Resetting Runs : addNotify
  275. // TclEventType: RUN_MODIFY
  276. // TclEventType: RUN_RESET
  277. // TclEventType: RUN_STATUS_CHANGE
  278. // TclEventType: RUN_RESET
  279. // Tcl Message: reset_run synth_1
  280. // TclEventType: RUN_STATUS_CHANGE
  281. // TclEventType: RUN_RESET
  282. // TclEventType: RUN_MODIFY
  283. // bz (cr): Starting Design Runs : addNotify
  284. // TclEventType: RUN_LAUNCH
  285. // TclEventType: RUN_STATUS_CHANGE
  286. // Tcl Message: launch_runs synth_1 -jobs 4
  287. // Tcl Message: INFO: [Vivado 12-4149] The synthesis checkpoint for IP 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci' is already up-to-date
  288. // TclEventType: RUN_MODIFY
  289. // Tcl Message: [Wed Aug 30 12:37:00 2023] Launched synth_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/synth_1/runme.log
  290. dismissDialog("Starting Design Runs"); // bz
  291. // TclEventType: RUN_STATUS_CHANGE
  292. // HMemoryUtils.trashcanNow. Engine heap size: 1,160 MB. GUI used memory: 103 MB. Current time: 8/30/23, 12:37:01 PM ICT
  293. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1]", 71); // D
  294. // TclEventType: RUN_COMPLETED
  295. // TclEventType: RUN_STATUS_CHANGE
  296. // TclEventType: RUN_STEP_COMPLETED
  297. // Elapsed time: 242 seconds
  298. collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 15); // u
  299. expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 15); // u
  300. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Set Up Debug]", 18, false); // u
  301. // bz (cr): Open Synthesized Design : addNotify
  302. // Tcl Message: open_run synth_1 -name synth_1
  303. // Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7s25csga324-2
  304. // [Engine Memory]: 1,197 MB (+18904kb) [00:16:01]
  305. // WARNING: HTimer (ActiveMsgMonitor Process Messages Timer) is taking 376ms to process. Increasing delay to 2000 ms.
  306. // HMemoryUtils.trashcanNow. Engine heap size: 1,652 MB. GUI used memory: 104 MB. Current time: 8/30/23, 12:41:12 PM ICT
  307. // TclEventType: READ_XDC_FILE_START
  308. // TclEventType: READ_XDC_FILE_END
  309. // TclEventType: READ_XDC_FILE_START
  310. // TclEventType: PLACEDB_MODIFIY_PRE
  311. // TclEventType: LOC_CONSTRAINT_ADD
  312. // TclEventType: PLACEDB_MODIFIY_PRE
  313. // TclEventType: LOC_CONSTRAINT_ADD
  314. // TclEventType: PLACEDB_MODIFIY_PRE
  315. // TclEventType: LOC_CONSTRAINT_ADD
  316. // TclEventType: PLACEDB_MODIFIY_PRE
  317. // TclEventType: LOC_CONSTRAINT_ADD
  318. // TclEventType: PLACEDB_MODIFIY_PRE
  319. // TclEventType: LOC_CONSTRAINT_ADD
  320. // TclEventType: PLACEDB_MODIFIY_PRE
  321. // TclEventType: LOC_CONSTRAINT_ADD
  322. // TclEventType: PLACEDB_MODIFIY_PRE
  323. // TclEventType: LOC_CONSTRAINT_ADD
  324. // TclEventType: PLACEDB_MODIFIY_PRE
  325. // TclEventType: LOC_CONSTRAINT_ADD
  326. // TclEventType: PLACEDB_MODIFIY_PRE
  327. // TclEventType: LOC_CONSTRAINT_ADD
  328. // TclEventType: SIGNAL_MODIFY
  329. // TclEventType: POWER_CNS_STALE
  330. // TclEventType: POWER_REPORT_STALE
  331. // TclEventType: SDC_CONSTRAINT_ADD
  332. // TclEventType: PLACEDB_MODIFIY_PRE
  333. // TclEventType: LOC_CONSTRAINT_ADD
  334. // TclEventType: SIGNAL_MODIFY
  335. // TclEventType: PLACEDB_MODIFIY_PRE
  336. // TclEventType: LOC_CONSTRAINT_ADD
  337. // TclEventType: SIGNAL_MODIFY
  338. // TclEventType: PLACEDB_MODIFIY_PRE
  339. // TclEventType: LOC_CONSTRAINT_ADD
  340. // TclEventType: SIGNAL_MODIFY
  341. // TclEventType: PLACEDB_MODIFIY_PRE
  342. // TclEventType: LOC_CONSTRAINT_ADD
  343. // TclEventType: SIGNAL_MODIFY
  344. // TclEventType: PLACEDB_MODIFIY_PRE
  345. // TclEventType: LOC_CONSTRAINT_ADD
  346. // TclEventType: SIGNAL_MODIFY
  347. // TclEventType: PLACEDB_MODIFIY_PRE
  348. // TclEventType: LOC_CONSTRAINT_ADD
  349. // TclEventType: SIGNAL_MODIFY
  350. // TclEventType: POWER_REPORT_STALE
  351. // TclEventType: SDC_CONSTRAINT_ADD
  352. // TclEventType: PLACEDB_MODIFIY_PRE
  353. // TclEventType: LOC_CONSTRAINT_ADD
  354. // TclEventType: SIGNAL_MODIFY
  355. // TclEventType: PLACEDB_MODIFIY_PRE
  356. // TclEventType: LOC_CONSTRAINT_ADD
  357. // TclEventType: SIGNAL_MODIFY
  358. // TclEventType: PLACEDB_MODIFIY_PRE
  359. // TclEventType: LOC_CONSTRAINT_ADD
  360. // TclEventType: SIGNAL_MODIFY
  361. // TclEventType: PLACEDB_MODIFIY_PRE
  362. // TclEventType: LOC_CONSTRAINT_ADD
  363. // TclEventType: SIGNAL_MODIFY
  364. // TclEventType: PLACEDB_MODIFIY_PRE
  365. // TclEventType: LOC_CONSTRAINT_ADD
  366. // TclEventType: SIGNAL_MODIFY
  367. // TclEventType: PLACEDB_MODIFIY_PRE
  368. // TclEventType: LOC_CONSTRAINT_ADD
  369. // TclEventType: SIGNAL_MODIFY
  370. // TclEventType: PLACEDB_MODIFIY_PRE
  371. // TclEventType: LOC_CONSTRAINT_ADD
  372. // TclEventType: SIGNAL_MODIFY
  373. // TclEventType: PLACEDB_MODIFIY_PRE
  374. // TclEventType: LOC_CONSTRAINT_ADD
  375. // TclEventType: SIGNAL_MODIFY
  376. // TclEventType: PLACEDB_MODIFIY_PRE
  377. // TclEventType: LOC_CONSTRAINT_ADD
  378. // TclEventType: SIGNAL_MODIFY
  379. // TclEventType: PLACEDB_MODIFIY_PRE
  380. // TclEventType: LOC_CONSTRAINT_ADD
  381. // TclEventType: SIGNAL_MODIFY
  382. // TclEventType: PLACEDB_MODIFIY_PRE
  383. // TclEventType: LOC_CONSTRAINT_ADD
  384. // TclEventType: SIGNAL_MODIFY
  385. // TclEventType: PLACEDB_MODIFIY_PRE
  386. // TclEventType: LOC_CONSTRAINT_ADD
  387. // TclEventType: SIGNAL_MODIFY
  388. // TclEventType: PLACEDB_MODIFIY_PRE
  389. // TclEventType: LOC_CONSTRAINT_ADD
  390. // TclEventType: SIGNAL_MODIFY
  391. // TclEventType: PLACEDB_MODIFIY_PRE
  392. // TclEventType: LOC_CONSTRAINT_ADD
  393. // TclEventType: SIGNAL_MODIFY
  394. // TclEventType: PLACEDB_MODIFIY_PRE
  395. // TclEventType: LOC_CONSTRAINT_ADD
  396. // TclEventType: SIGNAL_MODIFY
  397. // TclEventType: PLACEDB_MODIFIY_PRE
  398. // TclEventType: LOC_CONSTRAINT_ADD
  399. // TclEventType: SIGNAL_MODIFY
  400. // TclEventType: PLACEDB_MODIFIY_PRE
  401. // TclEventType: LOC_CONSTRAINT_ADD
  402. // TclEventType: SIGNAL_MODIFY
  403. // TclEventType: PLACEDB_MODIFIY_PRE
  404. // TclEventType: LOC_CONSTRAINT_ADD
  405. // TclEventType: SIGNAL_MODIFY
  406. // TclEventType: PLACEDB_MODIFIY_PRE
  407. // TclEventType: LOC_CONSTRAINT_ADD
  408. // TclEventType: SIGNAL_MODIFY
  409. // TclEventType: PLACEDB_MODIFIY_PRE
  410. // TclEventType: LOC_CONSTRAINT_ADD
  411. // TclEventType: SIGNAL_MODIFY
  412. // TclEventType: PLACEDB_MODIFIY_PRE
  413. // TclEventType: LOC_CONSTRAINT_ADD
  414. // TclEventType: SIGNAL_MODIFY
  415. // TclEventType: PLACEDB_MODIFIY_PRE
  416. // TclEventType: LOC_CONSTRAINT_ADD
  417. // TclEventType: SIGNAL_MODIFY
  418. // TclEventType: PLACEDB_MODIFIY_PRE
  419. // TclEventType: LOC_CONSTRAINT_ADD
  420. // TclEventType: SIGNAL_MODIFY
  421. // TclEventType: PLACEDB_MODIFIY_PRE
  422. // TclEventType: LOC_CONSTRAINT_ADD
  423. // TclEventType: SIGNAL_MODIFY
  424. // TclEventType: PLACEDB_MODIFIY_PRE
  425. // TclEventType: LOC_CONSTRAINT_ADD
  426. // TclEventType: SIGNAL_MODIFY
  427. // TclEventType: PLACEDB_MODIFIY_PRE
  428. // TclEventType: LOC_CONSTRAINT_ADD
  429. // TclEventType: SIGNAL_MODIFY
  430. // TclEventType: PLACEDB_MODIFIY_PRE
  431. // TclEventType: LOC_CONSTRAINT_ADD
  432. // TclEventType: SIGNAL_MODIFY
  433. // TclEventType: PLACEDB_MODIFIY_PRE
  434. // TclEventType: LOC_CONSTRAINT_ADD
  435. // TclEventType: SIGNAL_MODIFY
  436. // TclEventType: PLACEDB_MODIFIY_PRE
  437. // TclEventType: LOC_CONSTRAINT_ADD
  438. // TclEventType: SIGNAL_MODIFY
  439. // TclEventType: PLACEDB_MODIFIY_PRE
  440. // TclEventType: LOC_CONSTRAINT_ADD
  441. // TclEventType: SIGNAL_MODIFY
  442. // TclEventType: PLACEDB_MODIFIY_PRE
  443. // TclEventType: LOC_CONSTRAINT_ADD
  444. // TclEventType: SIGNAL_MODIFY
  445. // TclEventType: PLACEDB_MODIFIY_PRE
  446. // TclEventType: LOC_CONSTRAINT_ADD
  447. // TclEventType: SIGNAL_MODIFY
  448. // TclEventType: PLACEDB_MODIFIY_PRE
  449. // TclEventType: LOC_CONSTRAINT_ADD
  450. // TclEventType: SIGNAL_MODIFY
  451. // TclEventType: PLACEDB_MODIFIY_PRE
  452. // TclEventType: LOC_CONSTRAINT_ADD
  453. // TclEventType: SIGNAL_MODIFY
  454. // TclEventType: PLACEDB_MODIFIY_PRE
  455. // TclEventType: LOC_CONSTRAINT_ADD
  456. // TclEventType: SIGNAL_MODIFY
  457. // TclEventType: PLACEDB_MODIFIY_PRE
  458. // TclEventType: LOC_CONSTRAINT_ADD
  459. // TclEventType: SIGNAL_MODIFY
  460. // TclEventType: PLACEDB_MODIFIY_PRE
  461. // TclEventType: LOC_CONSTRAINT_ADD
  462. // TclEventType: SIGNAL_MODIFY
  463. // TclEventType: PLACEDB_MODIFIY_PRE
  464. // TclEventType: LOC_CONSTRAINT_ADD
  465. // TclEventType: SIGNAL_MODIFY
  466. // TclEventType: PLACEDB_MODIFIY_PRE
  467. // TclEventType: LOC_CONSTRAINT_ADD
  468. // TclEventType: SIGNAL_MODIFY
  469. // TclEventType: PLACEDB_MODIFIY_PRE
  470. // TclEventType: LOC_CONSTRAINT_ADD
  471. // TclEventType: SIGNAL_MODIFY
  472. // TclEventType: PLACEDB_MODIFIY_PRE
  473. // TclEventType: LOC_CONSTRAINT_ADD
  474. // TclEventType: SIGNAL_MODIFY
  475. // TclEventType: PLACEDB_MODIFIY_PRE
  476. // TclEventType: LOC_CONSTRAINT_ADD
  477. // TclEventType: SIGNAL_MODIFY
  478. // TclEventType: PLACEDB_MODIFIY_PRE
  479. // TclEventType: LOC_CONSTRAINT_ADD
  480. // TclEventType: SIGNAL_MODIFY
  481. // TclEventType: PLACEDB_MODIFIY_PRE
  482. // TclEventType: LOC_CONSTRAINT_ADD
  483. // TclEventType: SIGNAL_MODIFY
  484. // TclEventType: PLACEDB_MODIFIY_PRE
  485. // TclEventType: LOC_CONSTRAINT_ADD
  486. // TclEventType: SIGNAL_MODIFY
  487. // TclEventType: PLACEDB_MODIFIY_PRE
  488. // TclEventType: LOC_CONSTRAINT_ADD
  489. // TclEventType: SIGNAL_MODIFY
  490. // TclEventType: PLACEDB_MODIFIY_PRE
  491. // TclEventType: LOC_CONSTRAINT_ADD
  492. // TclEventType: SIGNAL_MODIFY
  493. // TclEventType: PLACEDB_MODIFIY_PRE
  494. // TclEventType: LOC_CONSTRAINT_ADD
  495. // TclEventType: SIGNAL_MODIFY
  496. // TclEventType: PLACEDB_MODIFIY_PRE
  497. // TclEventType: LOC_CONSTRAINT_ADD
  498. // TclEventType: SIGNAL_MODIFY
  499. // TclEventType: PLACEDB_MODIFIY_PRE
  500. // TclEventType: LOC_CONSTRAINT_ADD
  501. // TclEventType: SIGNAL_MODIFY
  502. // TclEventType: PLACEDB_MODIFIY_PRE
  503. // TclEventType: LOC_CONSTRAINT_ADD
  504. // TclEventType: SIGNAL_MODIFY
  505. // TclEventType: PLACEDB_MODIFIY_PRE
  506. // TclEventType: LOC_CONSTRAINT_ADD
  507. // TclEventType: SIGNAL_MODIFY
  508. // TclEventType: PLACEDB_MODIFIY_PRE
  509. // TclEventType: LOC_CONSTRAINT_ADD
  510. // TclEventType: SIGNAL_MODIFY
  511. // TclEventType: PLACEDB_MODIFIY_PRE
  512. // TclEventType: LOC_CONSTRAINT_ADD
  513. // TclEventType: SIGNAL_MODIFY
  514. // TclEventType: PLACEDB_MODIFIY_PRE
  515. // TclEventType: LOC_CONSTRAINT_ADD
  516. // TclEventType: SIGNAL_MODIFY
  517. // HMemoryUtils.trashcanNow. Engine heap size: 1,989 MB. GUI used memory: 104 MB. Current time: 8/30/23, 12:41:31 PM ICT
  518. // TclEventType: UNMARK_DEBUG_NET
  519. // [Engine Memory]: 1,994 MB (+772872kb) [00:16:29]
  520. // TclEventType: UNMARK_DEBUG_NET
  521. // TclEventType: READ_XDC_FILE_END
  522. // TclEventType: FLOORPLAN_MODIFY
  523. // TclEventType: DESIGN_NEW
  524. // HMemoryUtils.trashcanNow. Engine heap size: 1,994 MB. GUI used memory: 104 MB. Current time: 8/30/23, 12:41:36 PM ICT
  525. // TclEventType: DESIGN_NEW
  526. // TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
  527. // Schematic: addNotify
  528. // WARNING: HEventQueue.dispatchEvent() is taking 2657 ms.
  529. // TclEventType: CURR_DESIGN_SET
  530. // Tcl Message: INFO: [Device 21-403] Loading part xc7s25csga324-2 INFO: [Project 1-454] Reading design checkpoint 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.dcp' for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst' INFO: [Project 1-454] Reading design checkpoint 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.dcp' for cell 'InternalDsp/sinCosMemFirstTone'
  531. // Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.448 . Memory (MB): peak = 1490.121 ; gain = 0.000
  532. // Tcl Message: INFO: [Netlist 29-17] Analyzing 2206 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2020.2 INFO: [Project 1-570] Preparing netlist for logic optimization
  533. // Tcl Message: Parsing XDC File [c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc] for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst/U0' Finished Parsing XDC File [c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc] for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst/U0' Parsing XDC File [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]
  534. // Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271] INFO: [Timing 38-2] Deriving generated clocks [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
  535. // Tcl Message: INFO: [Vivado 12-626] No clocks found. Please use 'create_clock' or 'create_generated_clock' command to create clocks. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
  536. // Tcl Message: INFO: [Vivado 12-626] No clocks found. Please use 'create_clock' or 'create_generated_clock' command to create clocks. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
  537. // Tcl Message: INFO: [Common 17-14] Message 'Vivado 12-507' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:388]
  538. // Tcl Message: INFO: [Common 17-14] Message 'Common 17-55' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:405]
  539. // Tcl Message: Finished Parsing XDC File [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]
  540. // Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  541. // Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 2098.832 ; gain = 0.000
  542. // Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: A total of 16 instances were transformed. IBUFDS_DIFF_OUT => IBUFDS_DIFF_OUT (IBUFDS(x2)): 8 instances IBUFGDS_DIFF_OUT => IBUFDS_DIFF_OUT (IBUFDS(x2)): 2 instances IOBUF => IOBUF (IBUF, OBUFT): 6 instances
  543. // Elapsed time: 34 seconds
  544. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  545. // ExpRunCommands.openSynthResults elapsed time: 34.4s
  546. // Tcl Message: open_run: Time (s): cpu = 00:00:42 ; elapsed = 00:00:34 . Memory (MB): peak = 2098.832 ; gain = 935.395
  547. // Run Command: PAResourceCommand.PACommandNames_DEBUG_WIZARD
  548. // N (cr): Set Up Debug: addNotify
  549. dismissDialog("Open Synthesized Design"); // bz
  550. // bz (N): Filtering Net and Tracing Clock Domain : addNotify
  551. selectButton("NEXT", "Next >"); // JButton
  552. dismissDialog("Filtering Net and Tracing Clock Domain"); // bz
  553. // [GUI Memory]: 186 MB (+656kb) [00:16:56]
  554. // Elapsed time: 30 seconds
  555. selectTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, InternalDsp (InternalDsp), DspChannel[1].DspFirstTone (DspPipeline__3), Nets (686), NcoCos_i, NcoCos_i[7]]", 278, false); // bC
  556. // [GUI Memory]: 197 MB (+1879kb) [00:17:34]
  557. // Elapsed time: 27 seconds
  558. selectTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, InternalDsp (InternalDsp), DspChannel[1].DspSecondTone (DspPipeline), Nets (686), NcoCos_i, NcoCos_i[10]]", 404, false); // bC
  559. // HMemoryUtils.trashcanNow. Engine heap size: 2,076 MB. GUI used memory: 134 MB. Current time: 8/30/23, 12:42:46 PM ICT
  560. // u (cr): Generate Schematic : addNotify
  561. // [Engine Memory]: 2,094 MB (+486kb) [00:18:32]
  562. // Elapsed time: 56 seconds
  563. dismissDialog("Generate Schematic"); // u
  564. // PAPropertyPanels.initPanels (<const0>) elapsed time: 0.7s
  565. // Elapsed time: 12 seconds
  566. collapseTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, InternalDsp (InternalDsp), ncoSecondTone (CordicNco__1), Nets (2210), Sin_o]", 511); // bC
  567. // HMemoryUtils.trashcanNow. Engine heap size: 2,096 MB. GUI used memory: 139 MB. Current time: 8/30/23, 12:43:51 PM ICT
  568. selectTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, InternalDsp (InternalDsp), Nets (989), NcoSin_o, NcoSin_o[5]]", 118, false); // bC
  569. selectTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, InternalDsp (InternalDsp), Nets (989), NcoCos_o, NcoCos_o[10]]", 104, false); // bC
  570. // Elapsed time: 19 seconds
  571. selectTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, Nets (2623), ncoCos, ncoCos[8]]", 56, false); // bC
  572. selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "InternalDsp/NcoCos_o (18) ; gclk_BUFG ; FDRE ; Data and Trigger", 5, "InternalDsp/NcoCos_o (18)", 0, true); // aq - Node
  573. selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "InternalDsp/NcoSin_o (18) ; gclk_BUFG ; FDRE ; Data and Trigger", 4, "InternalDsp/NcoSin_o (18)", 0, true, false, true, false, false, false); // aq - Control Key - Node
  574. // Elapsed time: 17 seconds
  575. selectTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, Nets (2623), startMeasSync_reg_n_0]", 326, false); // bC
  576. selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ncoSin (18) ; gclk_BUFG ; FDRE ; Data and Trigger", 5, "Data and Trigger", 3, true); // aq - Node
  577. selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ncoCos (18) ; gclk_BUFG ; FDRE ; Data and Trigger", 4, "Data and Trigger", 3, true); // aq - Node
  578. selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ncoCos (18) ; gclk_BUFG ; FDRE ; Data and Trigger", 4, "Data and Trigger", 3, true); // aq - Node
  579. selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "InternalDsp/DspChannel[1].DspSecondTone/NcoSin_i (18) ; gclk_BUFG ; RAMB18E1 ; Data and Trigger", 3, "Data and Trigger", 3, true); // aq - Node
  580. selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "InternalDsp/DspChannel[1].DspSecondTone/NcoCos_i (18) ; gclk_BUFG ; RAMB18E1 ; Data and Trigger", 2, "Data and Trigger", 3, true); // aq - Node
  581. selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "InternalDsp/DspChannel[1].DspFirstTone/NcoCos_i (18) ; gclk_BUFG ; RAMB18E1 ; Data and Trigger", 0, "Data and Trigger", 3, true); // aq - Node
  582. selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "InternalDsp/DspChannel[1].DspFirstTone/NcoSin_i (18) ; gclk_BUFG ; RAMB18E1 ; Data and Trigger", 1, "Data and Trigger", 3, true); // aq - Node
  583. selectButton("NEXT", "Next >"); // JButton
  584. selectButton("BACK", "< Back"); // JButton
  585. selectButton("NEXT", "Next >"); // JButton
  586. selectButton("NEXT", "Next >"); // JButton
  587. selectButton("FINISH", "Finish"); // JButton
  588. // TclEventType: NETLIST_UPDATE
  589. // TclEventType: DEBUG_PORT_ADD
  590. // TclEventType: DEBUG_CORE_ADD
  591. // TclEventType: DEBUG_GRAPH_STALE
  592. // TclEventType: NETLIST_UPDATE
  593. // Tcl Message: create_debug_core u_ila_0 ila
  594. // TclEventType: DEBUG_CORE_CONFIG_CHANGE
  595. // Tcl Message: set_property C_DATA_DEPTH 1024 [get_debug_cores u_ila_0]
  596. // TclEventType: DEBUG_CORE_CONFIG_CHANGE
  597. // bz (N): Set Up Debug : addNotify
  598. // TclEventType: DEBUG_CORE_CONFIG_CHANGE
  599. // Tcl Message: set_property C_TRIGIN_EN false [get_debug_cores u_ila_0]
  600. // TclEventType: DEBUG_CORE_CONFIG_CHANGE
  601. // Tcl Message: set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0]
  602. // TclEventType: DEBUG_CORE_CONFIG_CHANGE
  603. // Tcl Message: set_property C_ADV_TRIGGER false [get_debug_cores u_ila_0]
  604. // TclEventType: DEBUG_CORE_CONFIG_CHANGE
  605. // Tcl Message: set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0]
  606. // TclEventType: DEBUG_CORE_CONFIG_CHANGE
  607. // Tcl Message: set_property C_EN_STRG_QUAL false [get_debug_cores u_ila_0]
  608. // TclEventType: DEBUG_CORE_CONFIG_CHANGE
  609. // Tcl Message: set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0]
  610. // TclEventType: DEBUG_CORE_CONFIG_CHANGE
  611. // Tcl Message: set_property ALL_PROBE_SAME_MU_CNT 1 [get_debug_cores u_ila_0]
  612. // TclEventType: DEBUG_CORE_CONFIG_CHANGE
  613. // Tcl Message: connect_debug_port u_ila_0/clk [get_nets [list gclk_BUFG ]]
  614. // TclEventType: NETLIST_UPDATE
  615. // Tcl Message: set_property port_width 18 [get_debug_ports u_ila_0/probe0]
  616. // TclEventType: NETLIST_UPDATE
  617. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  618. // Tcl Message: set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe0]
  619. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  620. // TclEventType: NETLIST_UPDATE
  621. // TclEventType: DEBUG_PORT_ADD
  622. // TclEventType: NETLIST_UPDATE
  623. // Tcl Message: create_debug_port u_ila_0 probe
  624. // TclEventType: NETLIST_UPDATE
  625. // Tcl Message: set_property port_width 18 [get_debug_ports u_ila_0/probe1]
  626. // TclEventType: NETLIST_UPDATE
  627. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  628. // Tcl Message: set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe1]
  629. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  630. // TclEventType: NETLIST_UPDATE
  631. // TclEventType: DEBUG_PORT_ADD
  632. // TclEventType: NETLIST_UPDATE
  633. // Tcl Message: create_debug_port u_ila_0 probe
  634. // TclEventType: NETLIST_UPDATE
  635. // Tcl Message: set_property port_width 18 [get_debug_ports u_ila_0/probe2]
  636. // TclEventType: NETLIST_UPDATE
  637. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  638. // Tcl Message: set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe2]
  639. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  640. // TclEventType: NETLIST_UPDATE
  641. // TclEventType: DEBUG_PORT_ADD
  642. // TclEventType: NETLIST_UPDATE
  643. // Tcl Message: create_debug_port u_ila_0 probe
  644. // TclEventType: NETLIST_UPDATE
  645. // Tcl Message: set_property port_width 18 [get_debug_ports u_ila_0/probe3]
  646. // TclEventType: NETLIST_UPDATE
  647. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  648. // Tcl Message: set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe3]
  649. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  650. // TclEventType: NETLIST_UPDATE
  651. // TclEventType: DEBUG_PORT_ADD
  652. // TclEventType: NETLIST_UPDATE
  653. // Tcl Message: create_debug_port u_ila_0 probe
  654. // TclEventType: NETLIST_UPDATE
  655. // Tcl Message: set_property port_width 18 [get_debug_ports u_ila_0/probe4]
  656. // TclEventType: NETLIST_UPDATE
  657. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  658. // Tcl Message: set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe4]
  659. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  660. // TclEventType: NETLIST_UPDATE
  661. // Tcl Message: connect_debug_port u_ila_0/probe4 [get_nets [list {ncoCos[0]} {ncoCos[1]} {ncoCos[2]} {ncoCos[3]} {ncoCos[4]} {ncoCos[5]} {ncoCos[6]} {ncoCos[7]} {ncoCos[8]} {ncoCos[9]} {ncoCos[10]} {ncoCos[11]} {ncoCos[12]} {ncoCos[13]} {ncoCos[14]} {ncoCos[15]} {ncoCos[16]} {ncoCos[17]} ]]
  662. // TclEventType: NETLIST_UPDATE
  663. // TclEventType: DEBUG_PORT_ADD
  664. // TclEventType: NETLIST_UPDATE
  665. // Tcl Message: create_debug_port u_ila_0 probe
  666. // TclEventType: NETLIST_UPDATE
  667. // Tcl Message: set_property port_width 18 [get_debug_ports u_ila_0/probe5]
  668. // TclEventType: NETLIST_UPDATE
  669. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  670. // Tcl Message: set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe5]
  671. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  672. // TclEventType: NETLIST_UPDATE
  673. // Tcl Message: connect_debug_port u_ila_0/probe5 [get_nets [list {ncoSin[0]} {ncoSin[1]} {ncoSin[2]} {ncoSin[3]} {ncoSin[4]} {ncoSin[5]} {ncoSin[6]} {ncoSin[7]} {ncoSin[8]} {ncoSin[9]} {ncoSin[10]} {ncoSin[11]} {ncoSin[12]} {ncoSin[13]} {ncoSin[14]} {ncoSin[15]} {ncoSin[16]} {ncoSin[17]} ]]
  674. // TclEventType: NETLIST_UPDATE
  675. // TclEventType: DEBUG_PORT_ADD
  676. // TclEventType: NETLIST_UPDATE
  677. // Tcl Message: create_debug_port u_ila_0 probe
  678. // Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe6]
  679. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  680. // Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe6]
  681. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  682. // TclEventType: NETLIST_UPDATE
  683. // HMemoryUtils.trashcanNow. Engine heap size: 2,113 MB. GUI used memory: 140 MB. Current time: 8/30/23, 12:45:02 PM ICT
  684. // Tcl Message: connect_debug_port u_ila_0/probe6 [get_nets [list startMeasSync_reg_n_0 ]]
  685. // TclEventType: DEBUG_GRAPH_STALE
  686. dismissDialog("Set Up Debug"); // bz
  687. dismissDialog("Set Up Debug"); // N
  688. // Run Command: PAResourceCommand.PACommandNames_SAVE_DESIGN
  689. // a (cr): Save Constraints: addNotify
  690. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  691. // TclEventType: FILESET_TARGET_UCF_CHANGE
  692. // TclEventType: FILE_SET_OPTIONS_CHANGE
  693. // bz (cr): Save Constraints : addNotify
  694. // Tcl Message: set_property target_constrs_file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc [current_fileset -constrset]
  695. dismissDialog("Save Constraints"); // a
  696. // TclEventType: DESIGN_STALE
  697. // TclEventType: FILE_SET_CHANGE
  698. // TclEventType: DESIGN_SAVE
  699. // TclEventType: FILESET_TARGET_UCF_CHANGE
  700. // Tcl Message: save_constraints -force
  701. dismissDialog("Save Constraints"); // bz
  702. // TclEventType: DG_GRAPH_STALE
  703. // TclEventType: FILE_SET_CHANGE
  704. // Elapsed time: 26 seconds
  705. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // u
  706. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  707. // bz (cr): Resetting Runs : addNotify
  708. // TclEventType: RUN_MODIFY
  709. // TclEventType: RUN_RESET
  710. // TclEventType: DESIGN_STALE
  711. // TclEventType: RUN_RESET
  712. // TclEventType: RUN_STATUS_CHANGE
  713. // TclEventType: RUN_RESET
  714. // TclEventType: RUN_MODIFY
  715. // Tcl Message: reset_run synth_1
  716. // bz (cr): Starting Design Runs : addNotify
  717. // Tcl Message: launch_runs synth_1 -jobs 4
  718. // TclEventType: FILESET_TARGET_UCF_CHANGE
  719. // TclEventType: DESIGN_STALE
  720. // TclEventType: RUN_LAUNCH
  721. // TclEventType: RUN_MODIFY
  722. // Tcl Message: INFO: [Vivado 12-4149] The synthesis checkpoint for IP 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci' is already up-to-date
  723. // Tcl Message: [Wed Aug 30 12:45:42 2023] Launched synth_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/synth_1/runme.log
  724. // Tcl Message: launch_runs: Time (s): cpu = 00:00:00 ; elapsed = 00:00:08 . Memory (MB): peak = 2143.074 ; gain = 0.000
  725. // 'k' command handler elapsed time: 8 seconds
  726. dismissDialog("Starting Design Runs"); // bz
  727. // TclEventType: RUN_STATUS_CHANGE
  728. // TclEventType: DESIGN_STALE
  729. // TclEventType: RUN_COMPLETED
  730. // TclEventType: RUN_STATUS_CHANGE
  731. // TclEventType: RUN_STEP_COMPLETED
  732. // Elapsed time: 312 seconds
  733. selectButton(PAResourceOtoP.ProjectTab_RELOAD, "Reload"); // h
  734. // bz (cr): Reloading : addNotify
  735. // TclEventType: RUN_MODIFY
  736. // TclEventType: RUN_RESET
  737. // TclEventType: DESIGN_STALE
  738. // TclEventType: RUN_RESET
  739. // TclEventType: RUN_STATUS_CHANGE
  740. // TclEventType: RUN_RESET
  741. // TclEventType: RUN_MODIFY
  742. // Tcl Message: reset_run blk_mem_gen_0_synth_1
  743. // TclEventType: DG_GRAPH_STALE
  744. // TclEventType: FILE_SET_CHANGE
  745. // Tcl Message: launch_runs blk_mem_gen_0_synth_1
  746. // Tcl Message: INFO: [IP_Flow 19-1686] Generating 'Synthesis' target for IP 'blk_mem_gen_0'...
  747. // TclEventType: FILE_SET_CHANGE
  748. // TclEventType: PROJ_DESIGN_MODE_SET
  749. // TclEventType: DG_GRAPH_STALE
  750. // Tcl Message: INFO: [IP_Flow 19-4993] Using cached IP synthesis design for IP blk_mem_gen_0, cache-ID = 322cb9b57f15157a; cache size = 1433.977 MB. INFO: [Vivado 12-4149] The synthesis checkpoint for IP 'C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.xci' is already up-to-date
  751. // TclEventType: DG_GRAPH_STALE
  752. // TclEventType: FILE_SET_CHANGE
  753. // TclEventType: DESIGN_STALE
  754. // TclEventType: RUN_LAUNCH
  755. // TclEventType: RUN_MODIFY
  756. // Tcl Message: [Wed Aug 30 12:50:57 2023] Launched blk_mem_gen_0_synth_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/blk_mem_gen_0_synth_1/runme.log
  757. // TclEventType: RUN_STATUS_CHANGE
  758. // Tcl Message: wait_on_run blk_mem_gen_0_synth_1
  759. // Tcl Message: [Wed Aug 30 12:50:57 2023] Waiting for blk_mem_gen_0_synth_1 to finish...
  760. // TclEventType: RUN_STATUS_CHANGE
  761. // Tcl Message: [Wed Aug 30 12:51:02 2023] Waiting for blk_mem_gen_0_synth_1 to finish...
  762. // Tcl Message: [Wed Aug 30 12:51:07 2023] Waiting for blk_mem_gen_0_synth_1 to finish...
  763. // TclEventType: DESIGN_STALE
  764. // TclEventType: RUN_COMPLETED
  765. // TclEventType: RUN_STATUS_CHANGE
  766. // TclEventType: RUN_STEP_COMPLETED
  767. // Tcl Message: [Wed Aug 30 12:51:12 2023] Waiting for blk_mem_gen_0_synth_1 to finish...
  768. // Tcl Message: *** Running vivado with args -log blk_mem_gen_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source blk_mem_gen_0.tcl ****** Vivado v2020.2 (64-bit) **** SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020 **** IP Build 3064653 on Wed Nov 18 14:17:31 MST 2020 ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. source blk_mem_gen_0.tcl -notrace INFO: [IP_Flow 19-4838] Using cached IP synthesis design for IP blk_mem_gen_0, cache-ID = 322cb9b57f15157a. INFO: [Common 17-206] Exiting Vivado at Wed Aug 30 12:51:10 2023...
  769. // Tcl Message: [Wed Aug 30 12:51:12 2023] blk_mem_gen_0_synth_1 finished
  770. // Tcl Message: wait_on_run: Time (s): cpu = 00:00:01 ; elapsed = 00:00:15 . Memory (MB): peak = 2145.742 ; gain = 0.000
  771. // Tcl Message: refresh_design
  772. // TclEventType: DESIGN_REFRESH
  773. // Engine heap size: 2,129 MB. GUI used memory: 168 MB. Current time: 8/30/23, 12:51:15 PM ICT
  774. // WARNING: HEventQueue.dispatchEvent() is taking 1018 ms.
  775. // HMemoryUtils.trashcanNow. Engine heap size: 2,129 MB. GUI used memory: 111 MB. Current time: 8/30/23, 12:51:15 PM ICT
  776. // Tcl Message: INFO: [Project 1-454] Reading design checkpoint 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.dcp' for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst' INFO: [Project 1-454] Reading design checkpoint 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.dcp' for cell 'InternalDsp/sinCosMemFirstTone'
  777. // TclEventType: READ_XDC_FILE_START
  778. // TclEventType: READ_XDC_FILE_END
  779. // TclEventType: READ_XDC_FILE_START
  780. // TclEventType: PLACEDB_MODIFIY_PRE
  781. // TclEventType: LOC_CONSTRAINT_ADD
  782. // TclEventType: PLACEDB_MODIFIY_PRE
  783. // TclEventType: LOC_CONSTRAINT_ADD
  784. // TclEventType: PLACEDB_MODIFIY_PRE
  785. // TclEventType: LOC_CONSTRAINT_ADD
  786. // TclEventType: PLACEDB_MODIFIY_PRE
  787. // TclEventType: LOC_CONSTRAINT_ADD
  788. // TclEventType: PLACEDB_MODIFIY_PRE
  789. // TclEventType: LOC_CONSTRAINT_ADD
  790. // TclEventType: PLACEDB_MODIFIY_PRE
  791. // TclEventType: LOC_CONSTRAINT_ADD
  792. // TclEventType: PLACEDB_MODIFIY_PRE
  793. // TclEventType: LOC_CONSTRAINT_ADD
  794. // TclEventType: PLACEDB_MODIFIY_PRE
  795. // TclEventType: LOC_CONSTRAINT_ADD
  796. // TclEventType: PLACEDB_MODIFIY_PRE
  797. // TclEventType: LOC_CONSTRAINT_ADD
  798. // TclEventType: SIGNAL_MODIFY
  799. // TclEventType: POWER_CNS_STALE
  800. // TclEventType: POWER_REPORT_STALE
  801. // TclEventType: SDC_CONSTRAINT_ADD
  802. // TclEventType: PLACEDB_MODIFIY_PRE
  803. // TclEventType: LOC_CONSTRAINT_ADD
  804. // TclEventType: SIGNAL_MODIFY
  805. // TclEventType: PLACEDB_MODIFIY_PRE
  806. // TclEventType: LOC_CONSTRAINT_ADD
  807. // TclEventType: SIGNAL_MODIFY
  808. // TclEventType: PLACEDB_MODIFIY_PRE
  809. // TclEventType: LOC_CONSTRAINT_ADD
  810. // TclEventType: SIGNAL_MODIFY
  811. // TclEventType: PLACEDB_MODIFIY_PRE
  812. // TclEventType: LOC_CONSTRAINT_ADD
  813. // TclEventType: SIGNAL_MODIFY
  814. // TclEventType: PLACEDB_MODIFIY_PRE
  815. // TclEventType: LOC_CONSTRAINT_ADD
  816. // TclEventType: SIGNAL_MODIFY
  817. // TclEventType: PLACEDB_MODIFIY_PRE
  818. // TclEventType: LOC_CONSTRAINT_ADD
  819. // TclEventType: SIGNAL_MODIFY
  820. // TclEventType: POWER_REPORT_STALE
  821. // TclEventType: SDC_CONSTRAINT_ADD
  822. // TclEventType: PLACEDB_MODIFIY_PRE
  823. // TclEventType: LOC_CONSTRAINT_ADD
  824. // TclEventType: SIGNAL_MODIFY
  825. // TclEventType: PLACEDB_MODIFIY_PRE
  826. // TclEventType: LOC_CONSTRAINT_ADD
  827. // TclEventType: SIGNAL_MODIFY
  828. // TclEventType: PLACEDB_MODIFIY_PRE
  829. // TclEventType: LOC_CONSTRAINT_ADD
  830. // TclEventType: SIGNAL_MODIFY
  831. // TclEventType: PLACEDB_MODIFIY_PRE
  832. // TclEventType: LOC_CONSTRAINT_ADD
  833. // TclEventType: SIGNAL_MODIFY
  834. // TclEventType: PLACEDB_MODIFIY_PRE
  835. // TclEventType: LOC_CONSTRAINT_ADD
  836. // TclEventType: SIGNAL_MODIFY
  837. // TclEventType: PLACEDB_MODIFIY_PRE
  838. // TclEventType: LOC_CONSTRAINT_ADD
  839. // TclEventType: SIGNAL_MODIFY
  840. // TclEventType: PLACEDB_MODIFIY_PRE
  841. // TclEventType: LOC_CONSTRAINT_ADD
  842. // TclEventType: SIGNAL_MODIFY
  843. // TclEventType: PLACEDB_MODIFIY_PRE
  844. // TclEventType: LOC_CONSTRAINT_ADD
  845. // TclEventType: SIGNAL_MODIFY
  846. // TclEventType: PLACEDB_MODIFIY_PRE
  847. // TclEventType: LOC_CONSTRAINT_ADD
  848. // TclEventType: SIGNAL_MODIFY
  849. // TclEventType: PLACEDB_MODIFIY_PRE
  850. // TclEventType: LOC_CONSTRAINT_ADD
  851. // TclEventType: SIGNAL_MODIFY
  852. // TclEventType: PLACEDB_MODIFIY_PRE
  853. // TclEventType: LOC_CONSTRAINT_ADD
  854. // TclEventType: SIGNAL_MODIFY
  855. // TclEventType: PLACEDB_MODIFIY_PRE
  856. // TclEventType: LOC_CONSTRAINT_ADD
  857. // TclEventType: SIGNAL_MODIFY
  858. // TclEventType: PLACEDB_MODIFIY_PRE
  859. // TclEventType: LOC_CONSTRAINT_ADD
  860. // TclEventType: SIGNAL_MODIFY
  861. // TclEventType: PLACEDB_MODIFIY_PRE
  862. // TclEventType: LOC_CONSTRAINT_ADD
  863. // TclEventType: SIGNAL_MODIFY
  864. // TclEventType: PLACEDB_MODIFIY_PRE
  865. // TclEventType: LOC_CONSTRAINT_ADD
  866. // TclEventType: SIGNAL_MODIFY
  867. // TclEventType: PLACEDB_MODIFIY_PRE
  868. // TclEventType: LOC_CONSTRAINT_ADD
  869. // TclEventType: SIGNAL_MODIFY
  870. // TclEventType: PLACEDB_MODIFIY_PRE
  871. // TclEventType: LOC_CONSTRAINT_ADD
  872. // TclEventType: SIGNAL_MODIFY
  873. // TclEventType: PLACEDB_MODIFIY_PRE
  874. // TclEventType: LOC_CONSTRAINT_ADD
  875. // TclEventType: SIGNAL_MODIFY
  876. // TclEventType: PLACEDB_MODIFIY_PRE
  877. // TclEventType: LOC_CONSTRAINT_ADD
  878. // TclEventType: SIGNAL_MODIFY
  879. // TclEventType: PLACEDB_MODIFIY_PRE
  880. // TclEventType: LOC_CONSTRAINT_ADD
  881. // TclEventType: SIGNAL_MODIFY
  882. // TclEventType: PLACEDB_MODIFIY_PRE
  883. // TclEventType: LOC_CONSTRAINT_ADD
  884. // TclEventType: SIGNAL_MODIFY
  885. // TclEventType: PLACEDB_MODIFIY_PRE
  886. // TclEventType: LOC_CONSTRAINT_ADD
  887. // TclEventType: SIGNAL_MODIFY
  888. // TclEventType: PLACEDB_MODIFIY_PRE
  889. // TclEventType: LOC_CONSTRAINT_ADD
  890. // TclEventType: SIGNAL_MODIFY
  891. // TclEventType: PLACEDB_MODIFIY_PRE
  892. // TclEventType: LOC_CONSTRAINT_ADD
  893. // TclEventType: SIGNAL_MODIFY
  894. // TclEventType: PLACEDB_MODIFIY_PRE
  895. // TclEventType: LOC_CONSTRAINT_ADD
  896. // TclEventType: SIGNAL_MODIFY
  897. // TclEventType: PLACEDB_MODIFIY_PRE
  898. // TclEventType: LOC_CONSTRAINT_ADD
  899. // TclEventType: SIGNAL_MODIFY
  900. // TclEventType: PLACEDB_MODIFIY_PRE
  901. // TclEventType: LOC_CONSTRAINT_ADD
  902. // TclEventType: SIGNAL_MODIFY
  903. // TclEventType: PLACEDB_MODIFIY_PRE
  904. // TclEventType: LOC_CONSTRAINT_ADD
  905. // TclEventType: SIGNAL_MODIFY
  906. // TclEventType: PLACEDB_MODIFIY_PRE
  907. // TclEventType: LOC_CONSTRAINT_ADD
  908. // TclEventType: SIGNAL_MODIFY
  909. // TclEventType: PLACEDB_MODIFIY_PRE
  910. // TclEventType: LOC_CONSTRAINT_ADD
  911. // TclEventType: SIGNAL_MODIFY
  912. // TclEventType: PLACEDB_MODIFIY_PRE
  913. // TclEventType: LOC_CONSTRAINT_ADD
  914. // TclEventType: SIGNAL_MODIFY
  915. // TclEventType: PLACEDB_MODIFIY_PRE
  916. // TclEventType: LOC_CONSTRAINT_ADD
  917. // TclEventType: SIGNAL_MODIFY
  918. // TclEventType: PLACEDB_MODIFIY_PRE
  919. // TclEventType: LOC_CONSTRAINT_ADD
  920. // TclEventType: SIGNAL_MODIFY
  921. // TclEventType: PLACEDB_MODIFIY_PRE
  922. // TclEventType: LOC_CONSTRAINT_ADD
  923. // TclEventType: SIGNAL_MODIFY
  924. // TclEventType: PLACEDB_MODIFIY_PRE
  925. // TclEventType: LOC_CONSTRAINT_ADD
  926. // TclEventType: SIGNAL_MODIFY
  927. // TclEventType: PLACEDB_MODIFIY_PRE
  928. // TclEventType: LOC_CONSTRAINT_ADD
  929. // TclEventType: SIGNAL_MODIFY
  930. // TclEventType: PLACEDB_MODIFIY_PRE
  931. // TclEventType: LOC_CONSTRAINT_ADD
  932. // TclEventType: SIGNAL_MODIFY
  933. // TclEventType: PLACEDB_MODIFIY_PRE
  934. // TclEventType: LOC_CONSTRAINT_ADD
  935. // TclEventType: SIGNAL_MODIFY
  936. // TclEventType: PLACEDB_MODIFIY_PRE
  937. // TclEventType: LOC_CONSTRAINT_ADD
  938. // TclEventType: SIGNAL_MODIFY
  939. // TclEventType: PLACEDB_MODIFIY_PRE
  940. // TclEventType: LOC_CONSTRAINT_ADD
  941. // TclEventType: SIGNAL_MODIFY
  942. // TclEventType: PLACEDB_MODIFIY_PRE
  943. // TclEventType: LOC_CONSTRAINT_ADD
  944. // TclEventType: SIGNAL_MODIFY
  945. // TclEventType: PLACEDB_MODIFIY_PRE
  946. // TclEventType: LOC_CONSTRAINT_ADD
  947. // TclEventType: SIGNAL_MODIFY
  948. // TclEventType: PLACEDB_MODIFIY_PRE
  949. // TclEventType: LOC_CONSTRAINT_ADD
  950. // TclEventType: SIGNAL_MODIFY
  951. // TclEventType: PLACEDB_MODIFIY_PRE
  952. // TclEventType: LOC_CONSTRAINT_ADD
  953. // TclEventType: SIGNAL_MODIFY
  954. // TclEventType: PLACEDB_MODIFIY_PRE
  955. // TclEventType: LOC_CONSTRAINT_ADD
  956. // TclEventType: SIGNAL_MODIFY
  957. // TclEventType: PLACEDB_MODIFIY_PRE
  958. // TclEventType: LOC_CONSTRAINT_ADD
  959. // TclEventType: SIGNAL_MODIFY
  960. // TclEventType: PLACEDB_MODIFIY_PRE
  961. // TclEventType: LOC_CONSTRAINT_ADD
  962. // TclEventType: SIGNAL_MODIFY
  963. // TclEventType: PLACEDB_MODIFIY_PRE
  964. // TclEventType: LOC_CONSTRAINT_ADD
  965. // TclEventType: SIGNAL_MODIFY
  966. // TclEventType: PLACEDB_MODIFIY_PRE
  967. // TclEventType: LOC_CONSTRAINT_ADD
  968. // TclEventType: SIGNAL_MODIFY
  969. // TclEventType: PLACEDB_MODIFIY_PRE
  970. // TclEventType: LOC_CONSTRAINT_ADD
  971. // TclEventType: SIGNAL_MODIFY
  972. // TclEventType: PLACEDB_MODIFIY_PRE
  973. // TclEventType: LOC_CONSTRAINT_ADD
  974. // TclEventType: SIGNAL_MODIFY
  975. // TclEventType: PLACEDB_MODIFIY_PRE
  976. // TclEventType: LOC_CONSTRAINT_ADD
  977. // TclEventType: SIGNAL_MODIFY
  978. // TclEventType: PLACEDB_MODIFIY_PRE
  979. // TclEventType: LOC_CONSTRAINT_ADD
  980. // TclEventType: SIGNAL_MODIFY
  981. // TclEventType: PLACEDB_MODIFIY_PRE
  982. // TclEventType: LOC_CONSTRAINT_ADD
  983. // TclEventType: SIGNAL_MODIFY
  984. // TclEventType: PLACEDB_MODIFIY_PRE
  985. // TclEventType: LOC_CONSTRAINT_ADD
  986. // TclEventType: SIGNAL_MODIFY
  987. // TclEventType: UNMARK_DEBUG_NET
  988. // TclEventType: DEBUG_PORT_ADD
  989. // TclEventType: DEBUG_CORE_ADD
  990. // TclEventType: DEBUG_CORE_CONFIG_CHANGE
  991. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  992. // TclEventType: DEBUG_PORT_ADD
  993. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  994. // TclEventType: DEBUG_PORT_ADD
  995. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  996. // TclEventType: DEBUG_PORT_ADD
  997. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  998. // TclEventType: DEBUG_PORT_ADD
  999. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  1000. // TclEventType: DEBUG_PORT_ADD
  1001. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  1002. // TclEventType: DEBUG_PORT_ADD
  1003. // TclEventType: DEBUG_PORT_CONFIG_CHANGE
  1004. // TclEventType: DEBUG_CORE_CONFIG_CHANGE
  1005. // TclEventType: READ_XDC_FILE_END
  1006. // TclEventType: FLOORPLAN_MODIFY
  1007. // TclEventType: DESIGN_REFRESH
  1008. // HMemoryUtils.trashcanNow. Engine heap size: 2,129 MB. GUI used memory: 110 MB. Current time: 8/30/23, 12:51:28 PM ICT
  1009. // TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
  1010. // Schematic: addNotify
  1011. // WARNING: HEventQueue.dispatchEvent() is taking 2259 ms.
  1012. // Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.416 . Memory (MB): peak = 2167.391 ; gain = 0.000
  1013. // Tcl Message: INFO: [Netlist 29-17] Analyzing 1937 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2020.2 INFO: [Project 1-570] Preparing netlist for logic optimization
  1014. // Tcl Message: Parsing XDC File [c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc] for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst/U0' Finished Parsing XDC File [c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc] for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst/U0' Parsing XDC File [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]
  1015. // Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271] INFO: [Timing 38-2] Deriving generated clocks [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
  1016. // Tcl Message: INFO: [Vivado 12-626] No clocks found. Please use 'create_clock' or 'create_generated_clock' command to create clocks. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
  1017. // Tcl Message: INFO: [Vivado 12-626] No clocks found. Please use 'create_clock' or 'create_generated_clock' command to create clocks. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
  1018. // Tcl Message: INFO: [Common 17-14] Message 'Vivado 12-507' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:388]
  1019. // Tcl Message: INFO: [Common 17-14] Message 'Common 17-55' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:405]
  1020. // Tcl Message: Finished Parsing XDC File [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]
  1021. // Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  1022. // Elapsed time: 34 seconds
  1023. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  1024. // Tcl Message: refresh_design: Time (s): cpu = 00:00:24 ; elapsed = 00:00:17 . Memory (MB): peak = 2210.375 ; gain = 64.633
  1025. dismissDialog("Reloading"); // bz
  1026. // TclEventType: FILE_SET_CHANGE
  1027. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 20, false); // u
  1028. // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
  1029. // bz (cr): Resetting Runs : addNotify
  1030. // TclEventType: RUN_MODIFY
  1031. // TclEventType: RUN_RESET
  1032. // TclEventType: DESIGN_STALE
  1033. // TclEventType: RUN_RESET
  1034. // TclEventType: RUN_STATUS_CHANGE
  1035. // TclEventType: RUN_RESET
  1036. // TclEventType: RUN_MODIFY
  1037. // Tcl Message: reset_run synth_1
  1038. dismissDialog("Resetting Runs"); // bz
  1039. // bz (cr): Generate Bitstream : addNotify
  1040. // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 4
  1041. // TclEventType: FILESET_TARGET_UCF_CHANGE
  1042. // TclEventType: DESIGN_STALE
  1043. // TclEventType: RUN_LAUNCH
  1044. // TclEventType: FILESET_TARGET_UCF_CHANGE
  1045. // TclEventType: RUN_LAUNCH
  1046. // TclEventType: RUN_MODIFY
  1047. // Tcl Message: INFO: [Vivado 12-4149] The synthesis checkpoint for IP 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci' is already up-to-date
  1048. // Tcl Message: [Wed Aug 30 12:51:41 2023] Launched synth_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/synth_1/runme.log [Wed Aug 30 12:51:41 2023] Launched impl_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/runme.log
  1049. dismissDialog("Generate Bitstream"); // bz
  1050. // TclEventType: RUN_STATUS_CHANGE
  1051. // TclEventType: DESIGN_STALE
  1052. // TclEventType: RUN_COMPLETED
  1053. // TclEventType: RUN_STATUS_CHANGE
  1054. // TclEventType: RUN_STEP_COMPLETED
  1055. // TclEventType: RUN_STATUS_CHANGE
  1056. // TclEventType: RUN_STEP_COMPLETED
  1057. // TclEventType: RUN_COMPLETED
  1058. // TclEventType: RUN_STATUS_CHANGE
  1059. // HMemoryUtils.trashcanNow. Engine heap size: 2,129 MB. GUI used memory: 128 MB. Current time: 8/30/23, 1:21:32 PM ICT
  1060. // WARNING: HEventQueue.dispatchEvent() is taking 3100425 ms.
  1061. // HMemoryUtils.trashcanNow. Engine heap size: 2,129 MB. GUI used memory: 127 MB. Current time: 8/30/23, 2:16:40 PM ICT
  1062. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 1043 ms. Increasing delay to 3129 ms.
  1063. // TclEventType: DG_GRAPH_STALE
  1064. // TclEventType: FILE_SET_CHANGE
  1065. // TclEventType: DG_GRAPH_STALE
  1066. // TclEventType: FILE_SET_CHANGE
  1067. // Elapsed time: 5678 seconds
  1068. selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k
  1069. closeTask("Synthesis", "Synthesized Design", "DesignTask.NETLIST_PLANNING");
  1070. // bz (cr): Close : addNotify
  1071. // TclEventType: DESIGN_CLOSE
  1072. // Engine heap size: 2,129 MB. GUI used memory: 163 MB. Current time: 8/30/23, 2:26:22 PM ICT
  1073. // WARNING: HEventQueue.dispatchEvent() is taking 1538 ms.
  1074. // TclEventType: CURR_DESIGN_SET
  1075. // HMemoryUtils.trashcanNow. Engine heap size: 2,129 MB. GUI used memory: 105 MB. Current time: 8/30/23, 2:26:22 PM ICT
  1076. // Tcl Message: close_design
  1077. // TclEventType: DESIGN_CLOSE
  1078. dismissDialog("Close"); // bz
  1079. // TclEventType: DG_GRAPH_STALE
  1080. // TclEventType: FILE_SET_CHANGE
  1081. // Elapsed time: 51 seconds
  1082. collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 12); // u
  1083. expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 12); // u
  1084. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design, Schematic]", 15, false); // u
  1085. // bz (cr): Open Elaborated Design : addNotify
  1086. // TclEventType: ELABORATE_START
  1087. // Tcl Message: synth_design -rtl -rtl_skip_mlo -name rtl_1
  1088. // Tcl Message: Command: synth_design -rtl -rtl_skip_mlo -name rtl_1 Starting synth_design Using part: xc7s25csga324-2 Top: S5243Top
  1089. // HMemoryUtils.trashcanNow. Engine heap size: 2,138 MB. GUI used memory: 103 MB. Current time: 8/30/23, 2:27:29 PM ICT
  1090. // TclEventType: ELABORATE_FINISH
  1091. // TclEventType: READ_XDC_FILE_START
  1092. // TclEventType: READ_XDC_FILE_END
  1093. // TclEventType: READ_XDC_FILE_START
  1094. // TclEventType: LOC_CONSTRAINT_ADD
  1095. // TclEventType: SIGNAL_MODIFY
  1096. // TclEventType: POWER_CNS_STALE
  1097. // TclEventType: POWER_REPORT_STALE
  1098. // TclEventType: SDC_CONSTRAINT_ADD
  1099. // TclEventType: LOC_CONSTRAINT_ADD
  1100. // TclEventType: SIGNAL_MODIFY
  1101. // TclEventType: LOC_CONSTRAINT_ADD
  1102. // TclEventType: SIGNAL_MODIFY
  1103. // TclEventType: LOC_CONSTRAINT_ADD
  1104. // TclEventType: SIGNAL_MODIFY
  1105. // TclEventType: LOC_CONSTRAINT_ADD
  1106. // TclEventType: SIGNAL_MODIFY
  1107. // TclEventType: LOC_CONSTRAINT_ADD
  1108. // TclEventType: SIGNAL_MODIFY
  1109. // TclEventType: LOC_CONSTRAINT_ADD
  1110. // TclEventType: SIGNAL_MODIFY
  1111. // TclEventType: POWER_REPORT_STALE
  1112. // TclEventType: SDC_CONSTRAINT_ADD
  1113. // TclEventType: LOC_CONSTRAINT_ADD
  1114. // TclEventType: SIGNAL_MODIFY
  1115. // TclEventType: LOC_CONSTRAINT_ADD
  1116. // TclEventType: SIGNAL_MODIFY
  1117. // TclEventType: LOC_CONSTRAINT_ADD
  1118. // TclEventType: SIGNAL_MODIFY
  1119. // TclEventType: LOC_CONSTRAINT_ADD
  1120. // TclEventType: SIGNAL_MODIFY
  1121. // TclEventType: LOC_CONSTRAINT_ADD
  1122. // TclEventType: SIGNAL_MODIFY
  1123. // TclEventType: LOC_CONSTRAINT_ADD
  1124. // TclEventType: SIGNAL_MODIFY
  1125. // TclEventType: LOC_CONSTRAINT_ADD
  1126. // TclEventType: SIGNAL_MODIFY
  1127. // TclEventType: LOC_CONSTRAINT_ADD
  1128. // TclEventType: SIGNAL_MODIFY
  1129. // TclEventType: LOC_CONSTRAINT_ADD
  1130. // TclEventType: SIGNAL_MODIFY
  1131. // TclEventType: LOC_CONSTRAINT_ADD
  1132. // TclEventType: SIGNAL_MODIFY
  1133. // TclEventType: LOC_CONSTRAINT_ADD
  1134. // TclEventType: SIGNAL_MODIFY
  1135. // TclEventType: LOC_CONSTRAINT_ADD
  1136. // TclEventType: SIGNAL_MODIFY
  1137. // TclEventType: LOC_CONSTRAINT_ADD
  1138. // TclEventType: SIGNAL_MODIFY
  1139. // TclEventType: LOC_CONSTRAINT_ADD
  1140. // TclEventType: SIGNAL_MODIFY
  1141. // TclEventType: LOC_CONSTRAINT_ADD
  1142. // TclEventType: SIGNAL_MODIFY
  1143. // TclEventType: LOC_CONSTRAINT_ADD
  1144. // TclEventType: SIGNAL_MODIFY
  1145. // TclEventType: LOC_CONSTRAINT_ADD
  1146. // TclEventType: SIGNAL_MODIFY
  1147. // TclEventType: LOC_CONSTRAINT_ADD
  1148. // TclEventType: SIGNAL_MODIFY
  1149. // TclEventType: LOC_CONSTRAINT_ADD
  1150. // TclEventType: SIGNAL_MODIFY
  1151. // TclEventType: LOC_CONSTRAINT_ADD
  1152. // TclEventType: SIGNAL_MODIFY
  1153. // TclEventType: LOC_CONSTRAINT_ADD
  1154. // TclEventType: SIGNAL_MODIFY
  1155. // TclEventType: LOC_CONSTRAINT_ADD
  1156. // TclEventType: SIGNAL_MODIFY
  1157. // TclEventType: LOC_CONSTRAINT_ADD
  1158. // TclEventType: SIGNAL_MODIFY
  1159. // TclEventType: LOC_CONSTRAINT_ADD
  1160. // TclEventType: SIGNAL_MODIFY
  1161. // TclEventType: LOC_CONSTRAINT_ADD
  1162. // TclEventType: SIGNAL_MODIFY
  1163. // TclEventType: LOC_CONSTRAINT_ADD
  1164. // TclEventType: SIGNAL_MODIFY
  1165. // TclEventType: LOC_CONSTRAINT_ADD
  1166. // TclEventType: SIGNAL_MODIFY
  1167. // TclEventType: LOC_CONSTRAINT_ADD
  1168. // TclEventType: SIGNAL_MODIFY
  1169. // TclEventType: LOC_CONSTRAINT_ADD
  1170. // TclEventType: SIGNAL_MODIFY
  1171. // TclEventType: LOC_CONSTRAINT_ADD
  1172. // TclEventType: SIGNAL_MODIFY
  1173. // TclEventType: LOC_CONSTRAINT_ADD
  1174. // TclEventType: SIGNAL_MODIFY
  1175. // TclEventType: LOC_CONSTRAINT_ADD
  1176. // TclEventType: SIGNAL_MODIFY
  1177. // TclEventType: LOC_CONSTRAINT_ADD
  1178. // TclEventType: SIGNAL_MODIFY
  1179. // TclEventType: LOC_CONSTRAINT_ADD
  1180. // TclEventType: SIGNAL_MODIFY
  1181. // TclEventType: LOC_CONSTRAINT_ADD
  1182. // TclEventType: SIGNAL_MODIFY
  1183. // TclEventType: LOC_CONSTRAINT_ADD
  1184. // TclEventType: SIGNAL_MODIFY
  1185. // TclEventType: LOC_CONSTRAINT_ADD
  1186. // TclEventType: SIGNAL_MODIFY
  1187. // TclEventType: LOC_CONSTRAINT_ADD
  1188. // TclEventType: SIGNAL_MODIFY
  1189. // TclEventType: LOC_CONSTRAINT_ADD
  1190. // TclEventType: SIGNAL_MODIFY
  1191. // TclEventType: LOC_CONSTRAINT_ADD
  1192. // TclEventType: SIGNAL_MODIFY
  1193. // TclEventType: LOC_CONSTRAINT_ADD
  1194. // TclEventType: SIGNAL_MODIFY
  1195. // TclEventType: LOC_CONSTRAINT_ADD
  1196. // TclEventType: SIGNAL_MODIFY
  1197. // TclEventType: LOC_CONSTRAINT_ADD
  1198. // TclEventType: SIGNAL_MODIFY
  1199. // TclEventType: LOC_CONSTRAINT_ADD
  1200. // TclEventType: SIGNAL_MODIFY
  1201. // TclEventType: LOC_CONSTRAINT_ADD
  1202. // TclEventType: SIGNAL_MODIFY
  1203. // TclEventType: LOC_CONSTRAINT_ADD
  1204. // TclEventType: SIGNAL_MODIFY
  1205. // TclEventType: LOC_CONSTRAINT_ADD
  1206. // TclEventType: SIGNAL_MODIFY
  1207. // TclEventType: LOC_CONSTRAINT_ADD
  1208. // TclEventType: SIGNAL_MODIFY
  1209. // TclEventType: LOC_CONSTRAINT_ADD
  1210. // TclEventType: SIGNAL_MODIFY
  1211. // TclEventType: LOC_CONSTRAINT_ADD
  1212. // TclEventType: SIGNAL_MODIFY
  1213. // TclEventType: LOC_CONSTRAINT_ADD
  1214. // TclEventType: SIGNAL_MODIFY
  1215. // TclEventType: LOC_CONSTRAINT_ADD
  1216. // TclEventType: SIGNAL_MODIFY
  1217. // TclEventType: LOC_CONSTRAINT_ADD
  1218. // TclEventType: SIGNAL_MODIFY
  1219. // TclEventType: LOC_CONSTRAINT_ADD
  1220. // TclEventType: SIGNAL_MODIFY
  1221. // TclEventType: LOC_CONSTRAINT_ADD
  1222. // TclEventType: SIGNAL_MODIFY
  1223. // [Engine Memory]: 2,206 MB (+7959kb) [02:02:30]
  1224. // TclEventType: READ_XDC_FILE_END
  1225. // TclEventType: FLOORPLAN_MODIFY
  1226. // TclEventType: DESIGN_NEW
  1227. // HMemoryUtils.trashcanNow. Engine heap size: 2,211 MB. GUI used memory: 103 MB. Current time: 8/30/23, 2:27:36 PM ICT
  1228. // TclEventType: DESIGN_NEW
  1229. // TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
  1230. // Schematic: addNotify
  1231. // WARNING: HEventQueue.dispatchEvent() is taking 2059 ms.
  1232. // TclEventType: CURR_DESIGN_SET
  1233. // Tcl Message: ---------------------------------------------------------------------------------
  1234. // Tcl Message: Starting RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2210.375 ; gain = 0.000
  1235. // Tcl Message: ---------------------------------------------------------------------------------
  1236. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'S5243Top' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/S5243Top.v:32]
  1237. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'OverloadDetect' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/GainOverloadControl/OverloadDetect.v:21]
  1238. // Tcl Message: Parameter ThresholdWidth bound to: 24 - type: integer Parameter AdcDataWidth bound to: 14 - type: integer Parameter MeasPeriod bound to: 44 - type: integer Parameter CntWidth bound to: 6 - type: integer Parameter SumWidth bound to: 20 - type: integer
  1239. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'OverloadDetect' (1#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/GainOverloadControl/OverloadDetect.v:21] INFO: [Synth 8-6157] synthesizing module 'GainControlWrapper' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/GainOverloadControl/GainControlWrapper.v:22]
  1240. // Tcl Message: Parameter AdcDataWidth bound to: 14 - type: integer Parameter ThresholdWidth bound to: 24 - type: integer Parameter PhIncWidth bound to: 32 - type: integer Parameter IfNcoOutWidth bound to: 18 - type: integer Parameter MeasPeriod bound to: 44 - type: integer Parameter MultDataWidth bound to: 36 - type: integer
  1241. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'MultModule' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/Math/MultModule.v:21]
  1242. // Tcl Message: Parameter AdcDataWidth bound to: 14 - type: integer Parameter IfNcoOutWidth bound to: 18 - type: integer Parameter MultDataWidth bound to: 36 - type: integer
  1243. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'MultModule' (2#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/Math/MultModule.v:21] INFO: [Synth 8-6157] synthesizing module 'GainControl' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/GainOverloadControl/GainControl.v:22]
  1244. // Tcl Message: Parameter AdcNcoMultWidth bound to: 36 - type: integer Parameter ThresholdWidth bound to: 24 - type: integer Parameter AdcDataWidth bound to: 14 - type: integer Parameter MeasPeriod bound to: 44 - type: integer Parameter CntWidth bound to: 32 - type: integer Parameter Delay bound to: 100 - type: integer Parameter AverageDelay bound to: 143 - type: integer Parameter SumWidth bound to: 41 - type: integer
  1245. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'GainControl' (3#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/GainOverloadControl/GainControl.v:22] INFO: [Synth 8-6155] done synthesizing module 'GainControlWrapper' (4#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/GainOverloadControl/GainControlWrapper.v:22] INFO: [Synth 8-6157] synthesizing module 'Mux' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/Mux.v:21]
  1246. // Tcl Message: Parameter CmdRegWidth bound to: 32 - type: integer Parameter PGenNum bound to: 7 - type: integer Parameter TrigPortsNum bound to: 6 - type: integer
  1247. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'Mux' (5#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/Mux.v:21] INFO: [Synth 8-6157] synthesizing module 'PulseGen' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/PulseGen.v:21]
  1248. // Tcl Message: Parameter CmdRegWidth bound to: 32 - type: integer Parameter IDLE bound to: 2'b00 Parameter DELAY bound to: 2'b01 Parameter PULSE bound to: 2'b10 Parameter DISABLED bound to: 8'b00000000 Parameter SINGLE bound to: 8'b00000001 Parameter DOUBLE bound to: 8'b00000010 Parameter TRIPPLE bound to: 8'b00000011 Parameter BURST bound to: 8'b00000100 Parameter CONTINIOUS bound to: 8'b00000101
  1249. // Tcl Message: INFO: [Synth 8-155] case statement is not full and has no default [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/PulseGen.v:243] INFO: [Synth 8-6155] done synthesizing module 'PulseGen' (6#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/PulseGen.v:21] INFO: [Synth 8-6157] synthesizing module 'IBUFDS' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:32998]
  1250. // Tcl Message: Parameter CAPACITANCE bound to: DONT_CARE - type: string Parameter DIFF_TERM bound to: FALSE - type: string Parameter DQS_BIAS bound to: FALSE - type: string Parameter IBUF_DELAY_VALUE bound to: 0 - type: string Parameter IBUF_LOW_PWR bound to: TRUE - type: string Parameter IFD_DELAY_VALUE bound to: AUTO - type: string Parameter IOSTANDARD bound to: DEFAULT - type: string
  1251. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'IBUFDS' (7#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:32998] INFO: [Synth 8-6157] synthesizing module 'Clk200Gen' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/Clk200Gen.v:1] INFO: [Synth 8-6157] synthesizing module 'PLLE2_ADV' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:61526]
  1252. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'PLLE2_ADV' (8#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:61526] INFO: [Synth 8-6157] synthesizing module 'BUFG' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:1083] INFO: [Synth 8-6155] done synthesizing module 'BUFG' (9#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:1083] INFO: [Synth 8-6155] done synthesizing module 'Clk200Gen' (10#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/Clk200Gen.v:1] INFO: [Synth 8-6157] synthesizing module 'AdcDataInterface' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/AdcDataInterface.v:21]
  1253. // Tcl Message: Parameter AdcDataWidth bound to: 14 - type: integer Parameter ChNum bound to: 4 - type: integer Parameter Ratio bound to: 8 - type: integer
  1254. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'top5x2_7to1_sdr_rx' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/top5x2_7to1_sdr_rx.v:59]
  1255. // Tcl Message: Parameter D bound to: 4 - type: integer Parameter N bound to: 1 - type: integer Parameter DataWidth bound to: 14 - type: integer
  1256. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'IDELAYCTRL' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35060]
  1257. // Tcl Message: Parameter SIM_DEVICE bound to: 7SERIES - type: string
  1258. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'IDELAYCTRL' (11#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35060] INFO: [Synth 8-6157] synthesizing module 'n_x_serdes_1_to_7_mmcm_idelay_sdr' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v:59]
  1259. // Tcl Message: Parameter N bound to: 1 - type: integer Parameter D bound to: 4 - type: integer Parameter MMCM_MODE bound to: 4 - type: integer Parameter CLKIN_PERIOD bound to: 40.000000 - type: double Parameter HIGH_PERFORMANCE_MODE bound to: FALSE - type: string Parameter DIFF_TERM bound to: TRUE - type: string Parameter SAMPL_CLOCK bound to: BUF_G - type: string Parameter PIXEL_CLOCK bound to: BUF_G - type: string Parameter USE_PLL bound to: TRUE - type: string Parameter DATA_FORMAT bound to: PER_CHANL - type: string
  1260. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'serdes_1_to_7_mmcm_idelay_sdr' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v:62]
  1261. // Tcl Message: Parameter D bound to: 4 - type: integer Parameter MMCM_MODE bound to: 4 - type: integer Parameter HIGH_PERFORMANCE_MODE bound to: FALSE - type: string Parameter CLKIN_PERIOD bound to: 40.000000 - type: double Parameter DIFF_TERM bound to: TRUE - type: string Parameter SAMPL_CLOCK bound to: BUF_G - type: string Parameter PIXEL_CLOCK bound to: BUF_G - type: string Parameter USE_PLL bound to: TRUE - type: string Parameter DATA_FORMAT bound to: PER_CHANL - type: string Parameter RX_SWAP_MASK bound to: 4'b0000
  1262. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'PLLE2_ADV__parameterized0' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:61526]
  1263. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'PLLE2_ADV__parameterized0' (11#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:61526] INFO: [Synth 8-6157] synthesizing module 'delay_controller_wrap' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/delay_controller_wrap.v:59]
  1264. // Tcl Message: Parameter S bound to: 7 - type: integer
  1265. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'delay_controller_wrap' (12#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/delay_controller_wrap.v:59] INFO: [Synth 8-6157] synthesizing module 'IBUFDS_DIFF_OUT' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:33052]
  1266. // Tcl Message: Parameter DIFF_TERM bound to: TRUE - type: string Parameter DQS_BIAS bound to: FALSE - type: string Parameter IBUF_LOW_PWR bound to: TRUE - type: string Parameter IOSTANDARD bound to: DEFAULT - type: string
  1267. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'IBUFDS_DIFF_OUT' (13#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:33052] INFO: [Synth 8-6157] synthesizing module 'IDELAYE2' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35073]
  1268. // Tcl Message: Parameter CINVCTRL_SEL bound to: FALSE - type: string Parameter DELAY_SRC bound to: IDATAIN - type: string Parameter HIGH_PERFORMANCE_MODE bound to: FALSE - type: string Parameter IDELAY_TYPE bound to: VAR_LOAD - type: string Parameter IDELAY_VALUE bound to: 0 - type: integer Parameter IS_C_INVERTED bound to: 1'b0 Parameter IS_DATAIN_INVERTED bound to: 1'b0 Parameter IS_IDATAIN_INVERTED bound to: 1'b0 Parameter PIPE_SEL bound to: FALSE - type: string Parameter REFCLK_FREQUENCY bound to: 200.000000 - type: double Parameter SIGNAL_PATTERN bound to: DATA - type: string Parameter SIM_DELAY_D bound to: 0 - type: integer
  1269. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'IDELAYE2' (14#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35073] INFO: [Synth 8-6157] synthesizing module 'ISERDESE2' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:38620]
  1270. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'ISERDESE2' (15#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:38620] INFO: [Synth 8-6157] synthesizing module 'IBUFGDS_DIFF_OUT' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:33489]
  1271. // Tcl Message: Parameter DIFF_TERM bound to: TRUE - type: string Parameter DQS_BIAS bound to: FALSE - type: string Parameter IBUF_LOW_PWR bound to: FALSE - type: string Parameter IOSTANDARD bound to: DEFAULT - type: string
  1272. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'IBUFGDS_DIFF_OUT' (16#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:33489] INFO: [Synth 8-6157] synthesizing module 'IDELAYE2__parameterized0' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35073]
  1273. // Tcl Message: Parameter CINVCTRL_SEL bound to: FALSE - type: string Parameter DELAY_SRC bound to: IDATAIN - type: string Parameter HIGH_PERFORMANCE_MODE bound to: FALSE - type: string Parameter IDELAY_TYPE bound to: VAR_LOAD - type: string Parameter IDELAY_VALUE bound to: 1 - type: integer Parameter IS_C_INVERTED bound to: 1'b0 Parameter IS_DATAIN_INVERTED bound to: 1'b0 Parameter IS_IDATAIN_INVERTED bound to: 1'b0 Parameter PIPE_SEL bound to: FALSE - type: string Parameter REFCLK_FREQUENCY bound to: 200.000000 - type: double Parameter SIGNAL_PATTERN bound to: DATA - type: string Parameter SIM_DELAY_D bound to: 0 - type: integer
  1274. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'IDELAYE2__parameterized0' (16#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35073] INFO: [Synth 8-6155] done synthesizing module 'serdes_1_to_7_mmcm_idelay_sdr' (17#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v:62] INFO: [Synth 8-6155] done synthesizing module 'n_x_serdes_1_to_7_mmcm_idelay_sdr' (18#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v:59]
  1275. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'top5x2_7to1_sdr_rx' (19#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/top5x2_7to1_sdr_rx.v:59] INFO: [Synth 8-6157] synthesizing module 'AdcSync' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/AdcSync.v:1]
  1276. // Tcl Message: Parameter AdcDataWidth bound to: 14 - type: integer
  1277. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'AdcSync' (20#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/AdcSync.v:1] INFO: [Synth 8-6155] done synthesizing module 'AdcDataInterface' (21#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/AdcDataInterface.v:21] INFO: [Synth 8-6157] synthesizing module 'DspInterface' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/ExtDspInterface/DspInterface.v:21]
  1278. // Tcl Message: Parameter AdcDataWidth bound to: 14 - type: integer Parameter ExtAdcDataWidth bound to: 16 - type: integer Parameter ODataWidth bound to: 16 - type: integer Parameter ResultWidth bound to: 32 - type: integer Parameter ChNum bound to: 4 - type: integer Parameter CmdRegWidth bound to: 32 - type: integer Parameter CmdDataRegWith bound to: 24 - type: integer Parameter HeaderWidth bound to: 7 - type: integer Parameter DataCntWidth bound to: 5 - type: integer Parameter CmdWidth bound to: 3 - type: integer
  1279. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'SlaveSpi' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/ExtDspInterface/SlaveSpi.v:23]
  1280. // Tcl Message: Parameter CmdRegWidth bound to: 32 - type: integer Parameter DataCntWidth bound to: 5 - type: integer Parameter HeaderWidth bound to: 7 - type: integer Parameter CmdDataRegWith bound to: 24 - type: integer Parameter Adc0DirAccessAddr bound to: 7'b0010011 Parameter Adc1DirAccessAddr bound to: 7'b0010100
  1281. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'SlaveSpi' (22#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/ExtDspInterface/SlaveSpi.v:23] INFO: [Synth 8-6157] synthesizing module 'MeasDataFifoWrapper' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/MeasDataFifo/MeasDataFifoWrapper.v:3]
  1282. // Tcl Message: Parameter DataWidth bound to: 32 - type: integer Parameter ChNum bound to: 4 - type: integer
  1283. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'MeasDataFifo' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/.Xil/Vivado-17916-DESKTOP-RMARCDV/realtime/MeasDataFifo_stub.v:6] INFO: [Synth 8-6155] done synthesizing module 'MeasDataFifo' (23#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/.Xil/Vivado-17916-DESKTOP-RMARCDV/realtime/MeasDataFifo_stub.v:6] INFO: [Synth 8-6157] synthesizing module 'FifoController' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/MeasDataFifo/FifoController.v:24]
  1284. // Tcl Message: Parameter TxInPack bound to: 200 - type: integer Parameter WorkTimeCycles bound to: 404000 - type: integer
  1285. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'FifoController' (24#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/MeasDataFifo/FifoController.v:24] INFO: [Synth 8-6155] done synthesizing module 'MeasDataFifoWrapper' (25#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/MeasDataFifo/MeasDataFifoWrapper.v:3] INFO: [Synth 8-6157] synthesizing module 'DspPpiOut' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/ExtDspInterface/DspPpiOut.v:22]
  1286. // Tcl Message: Parameter ODataWidth bound to: 16 - type: integer Parameter ResultWidth bound to: 32 - type: integer Parameter ChNum bound to: 4 - type: integer Parameter DataBusWidth bound to: 288 - type: integer Parameter ServisePattern bound to: 43981 - type: integer
  1287. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'ODDR2' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:49817]
  1288. // Tcl Message: Parameter DDR_ALIGNMENT bound to: NONE - type: string Parameter INIT bound to: 1'b0 Parameter SRTYPE bound to: SYNC - type: string
  1289. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'ODDR2' (26#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:49817] INFO: [Synth 8-6155] done synthesizing module 'DspPpiOut' (27#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/ExtDspInterface/DspPpiOut.v:22] INFO: [Synth 8-6155] done synthesizing module 'DspInterface' (28#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/ExtDspInterface/DspInterface.v:21] INFO: [Synth 8-6157] synthesizing module 'NcoRstGen' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/NcoRstGen.v:21]
  1290. // Tcl Message: Parameter IDLE bound to: 2'b00 Parameter RST bound to: 2'b01 Parameter DEL bound to: 2'b10
  1291. // Tcl Message: INFO: [Synth 8-155] case statement is not full and has no default [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/NcoRstGen.v:79] INFO: [Synth 8-6155] done synthesizing module 'NcoRstGen' (29#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/NcoRstGen.v:21] INFO: [Synth 8-6157] synthesizing module 'InternalDsp' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/InternalDsp.v:22]
  1292. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'AdcCalibration' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/AdcCalibration.v:24]
  1293. // Tcl Message: Parameter AccNum bound to: 2097152 - type: integer Parameter AdcDataWidth bound to: 20 - type: integer Parameter ShiftValue bound to: 21 - type: integer Parameter AccWidth bound to: 41 - type: integer
  1294. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'AdcCalibration' (30#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/AdcCalibration.v:24] INFO: [Synth 8-6157] synthesizing module 'DspPipeline' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/DspPipeline.v:3]
  1295. // Tcl Message: Parameter AdcDataWidth bound to: 14 - type: integer Parameter AccWidth bound to: 80 - type: integer Parameter WindWidth bound to: 18 - type: integer Parameter AdcCorrData bound to: 20 - type: integer Parameter NcoWidth bound to: 18 - type: integer Parameter ResultWidth bound to: 32 - type: integer Parameter WindNormCoefWidth bound to: 32 - type: integer Parameter WindCorrCoefWidth bound to: 32 - type: integer Parameter IntermediateWidth bound to: 18 - type: integer Parameter FracWidth bound to: 51 - type: integer Parameter NormResultWidth bound to: 112 - type: integer Parameter AdcWindWidth bound to: 37 - type: integer
  1296. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'SimpleMult' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/SimpleMult.v:21]
  1297. // Tcl Message: Parameter FactorAWidth bound to: 20 - type: integer Parameter FactorBWidth bound to: 18 - type: integer Parameter OutputWidth bound to: 37 - type: integer Parameter ResultWidth bound to: 38 - type: integer
  1298. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'SimpleMult' (31#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/SimpleMult.v:21] INFO: [Synth 8-6157] synthesizing module 'SimpleMult__parameterized0' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/SimpleMult.v:21]
  1299. // Tcl Message: Parameter FactorAWidth bound to: 37 - type: integer Parameter FactorBWidth bound to: 18 - type: integer Parameter OutputWidth bound to: 55 - type: integer Parameter ResultWidth bound to: 55 - type: integer
  1300. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'SimpleMult__parameterized0' (31#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/SimpleMult.v:21] INFO: [Synth 8-6157] synthesizing module 'SumAcc' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/SumAcc.v:1]
  1301. // Tcl Message: Parameter IDataWidth bound to: 54 - type: integer Parameter ODataWidth bound to: 80 - type: integer
  1302. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'SumAcc' (32#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/SumAcc.v:1] INFO: [Synth 8-6157] synthesizing module 'MyIntToFp' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/MyIntToFp.v:21]
  1303. // Tcl Message: Parameter InWidth bound to: 80 - type: integer Parameter ExpWidth bound to: 8 - type: integer Parameter ManWidth bound to: 23 - type: integer Parameter FracWidth bound to: 51 - type: integer Parameter OutWidth bound to: 32 - type: integer Parameter ExpConst bound to: 127 - type: integer Parameter Stages bound to: 7 - type: integer
  1304. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'MyIntToFp' (33#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/MyIntToFp.v:21] INFO: [Synth 8-6157] synthesizing module 'FpCustomMultiplier' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/Math/FpCustomMultiplier.v:1]
  1305. // Tcl Message: Parameter ManWidth bound to: 23 - type: integer Parameter ExpWidth bound to: 8 - type: integer Parameter InOutWidth bound to: 32 - type: integer Parameter ExtManWidth bound to: 25 - type: integer Parameter MultResultWidth bound to: 48 - type: integer Parameter ExpConst bound to: 127 - type: integer
  1306. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'FpCustomMultiplier' (34#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/Math/FpCustomMultiplier.v:1] INFO: [Synth 8-6155] done synthesizing module 'DspPipeline' (35#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/DspPipeline.v:3] INFO: [Synth 8-6157] synthesizing module 'MeasCtrlModule' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/MeasCtrlModule.v:21]
  1307. // Tcl Message: Parameter WindPNumWidth bound to: 32 - type: integer Parameter TukeyWinAlpha bound to: 4 - type: integer
  1308. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'MeasCtrlModule' (36#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/MeasCtrlModule.v:21] INFO: [Synth 8-6157] synthesizing module 'WinParameters' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/WinParameters.v:21]
  1309. // Tcl Message: Parameter WindPhIncWidth bound to: 32 - type: integer Parameter WindNormCoefWidth bound to: 32 - type: integer Parameter WindPNumWidth bound to: 32 - type: integer Parameter BandCmdWidth bound to: 8 - type: integer
  1310. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'WinParameters' (37#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/WinParameters.v:21] INFO: [Synth 8-6157] synthesizing module 'Win_calc' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/Win_calc.v:22]
  1311. // Tcl Message: Parameter A3_1 bound to: 18'sb010101010110000100 Parameter A1 bound to: 18'sb010010010000000000 Parameter A2 bound to: 18'sb000000001011000000 Parameter A3 bound to: 18'sb101010101001111100 Parameter A4 bound to: 18'sb000001001001101100 Parameter A5 bound to: 18'sb000001110001011100 Parameter CalcWidth bound to: 10 - type: integer Parameter CalcWidthR bound to: 18 - type: integer Parameter b2Width bound to: 20 - type: integer Parameter b3Width bound to: 30 - type: integer Parameter b4Width bound to: 40 - type: integer Parameter b5Width bound to: 50 - type: integer Parameter testArg bound to: 306783378 - type: integer
  1312. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'Win_calc' (38#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/Win_calc.v:22]
  1313. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'CordicNco' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/CordicNco.v:6]
  1314. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter PhIncWidth bound to: 32 - type: integer Parameter IterNum bound to: 15 - type: integer Parameter EnSinN bound to: 0 - type: integer Parameter WinTypeW bound to: 0 - type: integer Parameter angle270 bound to: 32'b11000000000000000000000000000000 Parameter angle180 bound to: 32'b10000000000000000000000000000000 Parameter angle90 bound to: 32'b01000000000000000000000000000000 Parameter initValue bound to: 18'b010011001010100010
  1315. // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'cordic_rotation' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1316. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 1 - type: integer
  1317. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized0' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1318. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 2 - type: integer
  1319. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized0' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized1' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1320. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 3 - type: integer
  1321. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized1' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized2' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1322. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 4 - type: integer
  1323. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized2' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized3' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1324. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 5 - type: integer
  1325. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized3' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized4' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1326. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 6 - type: integer
  1327. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized4' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized5' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1328. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 7 - type: integer
  1329. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized5' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized6' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1330. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 8 - type: integer
  1331. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized6' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized7' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1332. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 9 - type: integer
  1333. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized7' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized8' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1334. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 10 - type: integer
  1335. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized8' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized9' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1336. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 11 - type: integer
  1337. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized9' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized10' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1338. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 12 - type: integer
  1339. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized10' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized11' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1340. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 13 - type: integer
  1341. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized11' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized12' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1342. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 14 - type: integer
  1343. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized12' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized13' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
  1344. // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 15 - type: integer
  1345. // Tcl Message: Parameter DataPrngWidth bound to: 8 - type: integer Parameter InDataWidth bound to: 20 - type: integer Parameter OutDataWidth bound to: 20 - type: integer
  1346. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'ComplPrng' (42#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/ComplPrng.v:18] INFO: [Synth 8-6155] done synthesizing module 'InternalDsp' (43#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/InternalDsp.v:22] INFO: [Synth 8-6157] synthesizing module 'RegMap' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/RegMap/RegMap.v:22]
  1347. // Tcl Message: INFO: [Synth 8-155] case statement is not full and has no default [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/RegMap/RegMap.v:488] INFO: [Synth 8-155] case statement is not full and has no default [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/RegMap/RegMap.v:875] INFO: [Synth 8-6155] done synthesizing module 'RegMap' (44#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/RegMap/RegMap.v:22] INFO: [Synth 8-6157] synthesizing module 'InitRst' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/adcInit/InitRst.v:1]
  1348. // Tcl Message: Parameter DELAY_VALUE bound to: 20 - type: integer Parameter DELAY_CNT_W bound to: 5 - type: integer Parameter SM_RST_S bound to: 1'b0 Parameter SM_DONE_S bound to: 1'b1
  1349. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'InitRst' (45#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/adcInit/InitRst.v:1] INFO: [Synth 8-6157] synthesizing module 'StartAfterGainSel' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/StartAfterGainSel.v:21]
  1350. // Tcl Message: Parameter ChNum bound to: 4 - type: integer
  1351. // Tcl Message: INFO: [Synth 8-226] default block is never used [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/StartAfterGainSel.v:47] INFO: [Synth 8-6155] done synthesizing module 'StartAfterGainSel' (46#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/StartAfterGainSel.v:21] INFO: [Synth 8-6157] synthesizing module 'DitherGenv2' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/DitherGen/DitherGenv2.v:21]
  1352. // Tcl Message: Parameter CmdDataRegWith bound to: 24 - type: integer Parameter FrAmpWordWidth bound to: 8 - type: integer Parameter RefFreqDiv bound to: 5 - type: integer
  1353. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'DitherGenv2' (47#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/DitherGen/DitherGenv2.v:21] INFO: [Synth 8-6157] synthesizing module 'MeasStartEventGen' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/MeasStartEventGen.v:28]
  1354. // Tcl Message: Parameter CmdRegWidth bound to: 32 - type: integer
  1355. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'MeasStartEventGen' (48#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/MeasStartEventGen.v:28] INFO: [Synth 8-6157] synthesizing module 'TrigInt2Mux' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/TrigInt2Mux.v:21]
  1356. // Tcl Message: Parameter PGenNum bound to: 7 - type: integer
  1357. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'TrigInt2Mux' (49#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/TrigInt2Mux.v:21] INFO: [Synth 8-6157] synthesizing module 'PGenRstGenerator' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/PGenRstGenerator.v:21]
  1358. // Tcl Message: Parameter PgenNum bound to: 7 - type: integer Parameter IDLE bound to: 2'b00 Parameter RST bound to: 2'b01 Parameter DEL bound to: 2'b10
  1359. // Tcl Message: INFO: [Synth 8-155] case statement is not full and has no default [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/PGenRstGenerator.v:68] INFO: [Synth 8-6155] done synthesizing module 'PGenRstGenerator' (50#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/PGenRstGenerator.v:21] INFO: [Synth 8-6157] synthesizing module 'SampleStrobeGenRstDemux' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/SampleStrobeGenRstDemux.v:21]
  1360. // Tcl Message: Parameter CmdRegWidth bound to: 32 - type: integer Parameter PGenNum bound to: 7 - type: integer Parameter TrigPortsNum bound to: 6 - type: integer
  1361. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'SampleStrobeGenRstDemux' (51#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/SampleStrobeGenRstDemux.v:21] INFO: [Synth 8-6157] synthesizing module 'ActivePortSelector' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/ActivePortSelector.v:22]
  1362. // Tcl Message: Parameter PortsNum bound to: 4 - type: integer Parameter LutNum bound to: 16 - type: integer Parameter PortsNone bound to: 4'b0000 Parameter Ports_1 bound to: 4'b0001 Parameter Ports_2 bound to: 4'b0010 Parameter Ports_21 bound to: 4'b0011 Parameter Ports_3 bound to: 4'b0100 Parameter Ports_31 bound to: 4'b0101 Parameter Ports_32 bound to: 4'b0110 Parameter Ports_321 bound to: 4'b0111 Parameter Ports_4 bound to: 4'b1000 Parameter Ports_41 bound to: 4'b1001 Parameter Ports_42 bound to: 4'b1010 Parameter Ports_421 bound to: 4'b1011 Parameter Ports_43 bound to: 4'b1100 Parameter Ports_431 bound to: 4'b1101 Parameter Ports_432 bound to: 4'b1110 Parameter Ports_4321 bound to: 4'b1111
  1363. // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'ActivePortSelector' (52#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/ActivePortSelector.v:22] INFO: [Synth 8-6155] done synthesizing module 'S5243Top' (53#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/S5243Top.v:32]
  1364. // Tcl Message: ---------------------------------------------------------------------------------
  1365. // Tcl Message: Finished RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 2306.062 ; gain = 95.688
  1366. // Tcl Message: ---------------------------------------------------------------------------------
  1367. // Tcl Message: --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- ---------------------------------------------------------------------------------
  1368. // Tcl Message: Finished Handling Custom Attributes : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2326.965 ; gain = 116.590
  1369. // Tcl Message: --------------------------------------------------------------------------------- ---------------------------------------------------------------------------------
  1370. // Tcl Message: Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2326.965 ; gain = 116.590
  1371. // Tcl Message: ---------------------------------------------------------------------------------
  1372. // Tcl Message: INFO: [Project 1-454] Reading design checkpoint 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.dcp' for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst' INFO: [Project 1-454] Reading design checkpoint 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.dcp' for cell 'InternalDsp/sinCosMemFirstTone'
  1373. // Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.645 . Memory (MB): peak = 2345.941 ; gain = 0.000
  1374. // Tcl Message: INFO: [Netlist 29-17] Analyzing 98 Unisim elements for replacement
  1375. // Tcl Message: INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2020.2 INFO: [Project 1-570] Preparing netlist for logic optimization
  1376. // Tcl Message: Processing XDC Constraints Initializing timing engine Parsing XDC File [c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc] for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst/U0' Finished Parsing XDC File [c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc] for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst/U0' Parsing XDC File [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]
  1377. // Tcl Message: INFO: [Timing 38-2] Deriving generated clocks [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
  1378. // Tcl Message: INFO: [Common 17-14] Message 'Vivado 12-507' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:552]
  1379. // Tcl Message: Finished Parsing XDC File [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]
  1380. // Tcl Message: INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/S5243Top_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/S5243Top_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
  1381. // Tcl Message: Completed Processing XDC Constraints
  1382. // Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 2458.656 ; gain = 0.000
  1383. // Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: A total of 11 instances were transformed. IBUFDS_DIFF_OUT => IBUFDS_DIFF_OUT (IBUFDS(x2)): 8 instances IBUFGDS_DIFF_OUT => IBUFDS_DIFF_OUT (IBUFDS(x2)): 2 instances ODDR2 => ODDR: 1 instance
  1384. // Tcl Message: RTL Elaboration Complete: : Time (s): cpu = 00:00:24 ; elapsed = 00:00:19 . Memory (MB): peak = 2522.199 ; gain = 311.824
  1385. // Tcl Message: 156 Infos, 110 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully
  1386. // Tcl Message: synth_design: Time (s): cpu = 00:00:24 ; elapsed = 00:00:19 . Memory (MB): peak = 2522.199 ; gain = 311.824
  1387. // Run Command: PAResourceCommand.PACommandNames_SCHEMATIC
  1388. // Schematic: addNotify
  1389. // Elapsed time: 20 seconds
  1390. dismissDialog("Open Elaborated Design"); // bz
  1391. // HMemoryUtils.trashcanNow. Engine heap size: 2,302 MB. GUI used memory: 126 MB. Current time: 8/30/23, 2:29:44 PM ICT
  1392. // [Engine Memory]: 2,329 MB (+12601kb) [02:05:08]
  1393. // HMemoryUtils.trashcanNow. Engine heap size: 2,331 MB. GUI used memory: 126 MB. Current time: 8/30/23, 2:30:14 PM ICT
  1394. // Elapsed time: 187 seconds
  1395. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 24, false); // u
  1396. // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
  1397. // bz (cr): Resetting Runs : addNotify
  1398. // TclEventType: RUN_MODIFY
  1399. // TclEventType: RUN_RESET
  1400. // TclEventType: RUN_STATUS_CHANGE
  1401. // Tcl Message: reset_run synth_1
  1402. // TclEventType: RUN_RESET
  1403. // TclEventType: RUN_STATUS_CHANGE
  1404. // TclEventType: RUN_RESET
  1405. // TclEventType: RUN_MODIFY
  1406. dismissDialog("Resetting Runs"); // bz
  1407. // TclEventType: FILESET_TARGET_UCF_CHANGE
  1408. // TclEventType: RUN_LAUNCH
  1409. // TclEventType: FILESET_TARGET_UCF_CHANGE
  1410. // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 4
  1411. // TclEventType: RUN_LAUNCH
  1412. // Tcl Message: INFO: [Vivado 12-4149] The synthesis checkpoint for IP 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci' is already up-to-date
  1413. // TclEventType: RUN_LAUNCH
  1414. // bz (cr): Generate Bitstream : addNotify
  1415. // TclEventType: RUN_MODIFY
  1416. // Tcl Message: [Wed Aug 30 14:30:48 2023] Launched synth_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/synth_1/runme.log [Wed Aug 30 14:30:48 2023] Launched impl_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/runme.log
  1417. dismissDialog("Generate Bitstream"); // bz
  1418. // TclEventType: RUN_STATUS_CHANGE
  1419. // TclEventType: RUN_COMPLETED
  1420. // TclEventType: RUN_STATUS_CHANGE
  1421. // TclEventType: RUN_STEP_COMPLETED
  1422. // TclEventType: RUN_STATUS_CHANGE
  1423. // TclEventType: RUN_STEP_COMPLETED
  1424. // TclEventType: RUN_COMPLETED
  1425. // TclEventType: RUN_STATUS_CHANGE
  1426. // Elapsed time: 821 seconds
  1427. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 25, true); // u - Node
  1428. // Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER
  1429. // Tcl Command: 'rdi::info_commands get_hw_probes'
  1430. // Tcl Command: 'load_features labtools'
  1431. // TclEventType: LOAD_FEATURE
  1432. // bz (cr): Open Hardware Manager : addNotify
  1433. // TclEventType: HW_SESSION_OPEN
  1434. // WARNING: HEventQueue.dispatchEvent() is taking 1478 ms.
  1435. // Tcl Message: open_hw_manager
  1436. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  1437. dismissDialog("Open Hardware Manager"); // bz
  1438. applyEnter(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, (String) null); // u
  1439. // PAResourceOtoP.PAViews_CODE: Code: close view
  1440. // Elapsed time: 30 seconds
  1441. closeView(PAResourceOtoP.PAViews_CODE, "Code"); // F
  1442. selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h
  1443. selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak
  1444. // Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET
  1445. // bz (cr): Auto Connect : addNotify
  1446. // Tcl Message: connect_hw_server -allow_non_jtag
  1447. // Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
  1448. // Tcl Message: INFO: [Labtools 27-2222] Launching hw_server...
  1449. // TclEventType: HW_SERVER_UPDATE
  1450. // Tcl Message: INFO: [Labtools 27-2221] Launch Output: ****** Xilinx hw_server v2020.2 **** Build date : Nov 18 2020 at 10:01:48 ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042
  1451. // Tcl Message: INFO: [Labtools 27-3417] Launching cs_server...
  1452. // TclEventType: HW_SERVER_UPDATE
  1453. // Tcl Message: INFO: [Labtools 27-2221] Launch Output: ******** Xilinx cs_server v2020.2 ****** Build date : Nov 04 2020-04:02:56 **** Build number : 2020.2.1604437376 ** Copyright 2017-2020 Xilinx, Inc. All Rights Reserved.
  1454. // Tcl Message: connect_hw_server: Time (s): cpu = 00:00:01 ; elapsed = 00:00:19 . Memory (MB): peak = 2610.000 ; gain = 12.648
  1455. // TclEventType: HW_TARGET_CHANGE
  1456. // Tcl Message: open_hw_target
  1457. // Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/00001876fac901
  1458. // Tcl Message: ERROR: [Labtools 27-2269] No devices detected on target localhost:3121/xilinx_tcf/Xilinx/00001876fac901. Check cable connectivity and that the target board is powered up then use the disconnect_hw_server and connect_hw_server to re-register this hardware target.
  1459. // Tcl Message: ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors.
  1460. // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors.
  1461. // a (cr): Critical Messages: addNotify
  1462. // Elapsed time: 19 seconds
  1463. dismissDialog("Auto Connect"); // bz
  1464. // WARNING: HTimer (ActiveMsgMonitor Process Messages Timer) is taking 223ms to process. Increasing delay to 2000 ms.
  1465. selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f
  1466. dismissDialog("Critical Messages"); // a
  1467. // Elapsed time: 53 seconds
  1468. selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k
  1469. closeTask("Program and Debug", "Hardware Manager", "DesignTask.PROGRAM_DEBUG");
  1470. // bz (cr): Close Hardware Manager : addNotify
  1471. // TclEventType: HW_OBJECT_DELETE
  1472. // TclEventType: HW_SERVER_CLOSE
  1473. // TclEventType: HW_TARGET_CLOSE
  1474. // TclEventType: HW_TARGET_UPDATE
  1475. // TclEventType: DEBUG_PROBE_DELETE
  1476. // TclEventType: HW_SESSION_CLOSE
  1477. // WARNING: HEventQueue.dispatchEvent() is taking 1281 ms.
  1478. // Tcl Message: close_hw_manager
  1479. dismissDialog("Close Hardware Manager"); // bz
  1480. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 25, true); // u - Node
  1481. // Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER
  1482. // Tcl Command: 'rdi::info_commands get_hw_probes'
  1483. // bz (cr): Open Hardware Manager : addNotify
  1484. // TclEventType: HW_SESSION_OPEN
  1485. // WARNING: HEventQueue.dispatchEvent() is taking 1121 ms.
  1486. // Tcl Message: open_hw_manager
  1487. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  1488. dismissDialog("Open Hardware Manager"); // bz
  1489. // Elapsed time: 147 seconds
  1490. selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h
  1491. selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak
  1492. // Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET
  1493. // Tcl Message: connect_hw_server -allow_non_jtag
  1494. // Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
  1495. // bz (cr): Auto Connect : addNotify
  1496. // Tcl Message: INFO: [Labtools 27-2222] Launching hw_server...
  1497. // TclEventType: HW_SERVER_UPDATE
  1498. // Tcl Message: INFO: [Labtools 27-2221] Launch Output: ****** Xilinx hw_server v2020.2 **** Build date : Nov 18 2020 at 10:01:48 ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042
  1499. // Tcl Message: INFO: [Labtools 27-3417] Launching cs_server...
  1500. // TclEventType: HW_SERVER_UPDATE
  1501. // Tcl Message: INFO: [Labtools 27-2221] Launch Output: ******** Xilinx cs_server v2020.2 ****** Build date : Nov 04 2020-04:02:56 **** Build number : 2020.2.1604437376 ** Copyright 2017-2020 Xilinx, Inc. All Rights Reserved.
  1502. // Tcl Message: connect_hw_server: Time (s): cpu = 00:00:01 ; elapsed = 00:00:09 . Memory (MB): peak = 2655.289 ; gain = 0.000
  1503. // TclEventType: HW_TARGET_CHANGE
  1504. // TclEventType: HW_TARGET_CLOSE
  1505. // TclEventType: HW_DEVICE_CHANGE
  1506. // TclEventType: HW_SYSMON_ADD
  1507. // TclEventType: HW_TARGET_UPDATE
  1508. // WARNING: HEventQueue.dispatchEvent() is taking 5664 ms.
  1509. // Tcl Message: open_hw_target
  1510. // Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/00001876fac901
  1511. // HMemoryUtils.trashcanNow. Engine heap size: 3,466 MB. GUI used memory: 133 MB. Current time: 8/30/23, 2:49:18 PM ICT
  1512. // Tcl Message: open_hw_target: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 4190.195 ; gain = 1534.906
  1513. // TclEventType: HW_DEVICE_CHANGE
  1514. // CommandFailedException: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
  1515. // Tcl Message: set_property PROGRAM.FILE {C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.bit} [get_hw_devices xc7s25_0]
  1516. // [Engine Memory]: 3,468 MB (+1072124kb) [02:24:12]
  1517. // Elapsed time: 15 seconds
  1518. dismissDialog("Auto Connect"); // bz
  1519. selectButton(PAResourceOtoP.ProgramDebugTab_REFRESH_DEVICE, "Refresh device"); // h
  1520. selectMenuItem((HResource) null, "xc7s25_0"); // ak
  1521. // Run Command: PAResourceCommand.PACommandNames_REFRESH_DEVICE
  1522. // TclEventType: HW_DEVICE_CHANGE
  1523. // TclEventType: HW_SYSMON_CHANGE
  1524. // TclEventType: HW_DEVICE_UPDATE
  1525. // TclEventType: HW_DEVICE_CHANGE
  1526. // Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7s25_0] 0]
  1527. // Tcl Message: INFO: [Labtools 27-1434] Device xc7s25 (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
  1528. // Tcl Message: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
  1529. // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
  1530. // TclEventType: HW_TARGET_NEEDS_CLOSE
  1531. // TclEventType: HW_TARGET_CLOSE
  1532. // TclEventType: HW_SYSMON_DELETE
  1533. // TclEventType: HW_TARGET_UPDATE
  1534. // TclEventType: DEBUG_PROBE_DELETE
  1535. // Tcl Message: ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Xilinx/00001876fac901
  1536. // TclEventType: HW_TARGET_NEEDS_CLOSE
  1537. // TclEventType: HW_TARGET_CHANGE
  1538. // TclEventType: HW_TARGET_CLOSE
  1539. // TclEventType: HW_DEVICE_CHANGE
  1540. // TclEventType: HW_SYSMON_ADD
  1541. // TclEventType: HW_TARGET_UPDATE
  1542. // TclEventType: HW_DEVICE_CHANGE
  1543. // TclEventType: HW_SYSMON_CHANGE
  1544. // TclEventType: HW_DEVICE_UPDATE
  1545. // TclEventType: HW_DEVICE_CHANGE
  1546. // TclEventType: HW_TARGET_NEEDS_CLOSE
  1547. // TclEventType: HW_TARGET_CLOSE
  1548. // TclEventType: HW_SYSMON_DELETE
  1549. // TclEventType: HW_TARGET_UPDATE
  1550. // TclEventType: DEBUG_PROBE_DELETE
  1551. // Tcl Message: ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Xilinx/00001876fac901
  1552. // Elapsed time: 204 seconds
  1553. selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h
  1554. selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak
  1555. // Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET
  1556. // TclEventType: HW_OBJECT_DELETE
  1557. // TclEventType: HW_SERVER_CLOSE
  1558. // Tcl Message: disconnect_hw_server localhost:3121
  1559. // TclEventType: HW_SERVER_UPDATE
  1560. // bz (cr): Auto Connect : addNotify
  1561. // TclEventType: HW_SERVER_UPDATE
  1562. // Tcl Message: connect_hw_server -allow_non_jtag
  1563. // Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042 INFO: [Labtools 27-3414] Connected to existing cs_server.
  1564. // TclEventType: HW_TARGET_CHANGE
  1565. // Tcl Message: open_hw_target
  1566. // Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/00001876fac901
  1567. // Tcl Message: ERROR: [Labtools 27-2269] No devices detected on target localhost:3121/xilinx_tcf/Xilinx/00001876fac901. Check cable connectivity and that the target board is powered up then use the disconnect_hw_server and connect_hw_server to re-register this hardware target.
  1568. // Tcl Message: ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors.
  1569. // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors.
  1570. // a (cr): Critical Messages: addNotify
  1571. dismissDialog("Auto Connect"); // bz
  1572. selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f
  1573. dismissDialog("Critical Messages"); // a
  1574. // TclEventType: HW_TARGET_NEEDS_CLOSE
  1575. // TclEventType: HW_TARGET_CLOSE
  1576. // TclEventType: HW_DEVICE_CHANGE
  1577. // TclEventType: HW_SYSMON_ADD
  1578. // TclEventType: HW_TARGET_UPDATE
  1579. // TclEventType: HW_DEVICE_CHANGE
  1580. // TclEventType: HW_SYSMON_CHANGE
  1581. // TclEventType: HW_ILA_CHANGE
  1582. // TclEventType: HW_DEVICE_UPDATE
  1583. // WARNING: HEventQueue.dispatchEvent() is taking 2177 ms.
  1584. // TclEventType: HW_DEVICE_CHANGE
  1585. // TclEventType: HW_ILA_CHANGE
  1586. // HMemoryUtils.trashcanNow. Engine heap size: 3,488 MB. GUI used memory: 143 MB. Current time: 8/30/23, 2:52:59 PM ICT
  1587. // Elapsed time: 11 seconds
  1588. selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k
  1589. closeTask("Program and Debug", "Hardware Manager", "DesignTask.PROGRAM_DEBUG");
  1590. // bz (cr): Close Hardware Manager : addNotify
  1591. // TclEventType: HW_OBJECT_DELETE
  1592. // TclEventType: HW_SERVER_CLOSE
  1593. // TclEventType: HW_SYSMON_DELETE
  1594. closeView(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // i
  1595. // TclEventType: HW_TARGET_CLOSE
  1596. // TclEventType: HW_TARGET_UPDATE
  1597. // TclEventType: DEBUG_PROBE_DELETE
  1598. // TclEventType: HW_SESSION_CLOSE
  1599. // WARNING: HEventQueue.dispatchEvent() is taking 1410 ms.
  1600. // Tcl Message: close_hw_manager
  1601. dismissDialog("Close Hardware Manager"); // bz
  1602. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 25, true); // u - Node
  1603. // Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER
  1604. // Tcl Command: 'rdi::info_commands get_hw_probes'
  1605. // bz (cr): Open Hardware Manager : addNotify
  1606. // TclEventType: HW_SESSION_OPEN
  1607. // WARNING: HEventQueue.dispatchEvent() is taking 1480 ms.
  1608. // Tcl Message: open_hw_manager
  1609. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  1610. dismissDialog("Open Hardware Manager"); // bz
  1611. selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h
  1612. selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak
  1613. // Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET
  1614. // TclEventType: HW_SERVER_UPDATE
  1615. // Tcl Message: connect_hw_server -allow_non_jtag
  1616. // Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042 INFO: [Labtools 27-3414] Connected to existing cs_server.
  1617. // TclEventType: HW_TARGET_CHANGE
  1618. // TclEventType: HW_TARGET_CLOSE
  1619. // bz (cr): Auto Connect : addNotify
  1620. // TclEventType: HW_DEVICE_CHANGE
  1621. // TclEventType: HW_SYSMON_ADD
  1622. // TclEventType: HW_TARGET_UPDATE
  1623. // Tcl Message: open_hw_target
  1624. // Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/00001876fac901
  1625. // TclEventType: HW_DEVICE_CHANGE
  1626. // CommandFailedException: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
  1627. // Tcl Message: set_property PROGRAM.FILE {C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.bit} [get_hw_devices xc7s25_0]
  1628. dismissDialog("Auto Connect"); // bz
  1629. selectButton(PAResourceOtoP.ProgramDebugTab_REFRESH_DEVICE, "Refresh device"); // h
  1630. selectMenuItem((HResource) null, "xc7s25_0"); // ak
  1631. // Run Command: PAResourceCommand.PACommandNames_REFRESH_DEVICE
  1632. // TclEventType: HW_DEVICE_CHANGE
  1633. // TclEventType: HW_SYSMON_CHANGE
  1634. // TclEventType: HW_ILA_CHANGE
  1635. // TclEventType: HW_DEVICE_UPDATE
  1636. // WARNING: HEventQueue.dispatchEvent() is taking 2162 ms.
  1637. // TclEventType: HW_DEVICE_CHANGE
  1638. // Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7s25_0] 0]
  1639. // Tcl Message: INFO: [Labtools 27-2302] Device xc7s25 (JTAG device index = 0) is programmed with a design that has 1 ILA core(s).
  1640. // TclEventType: HW_DEVICE_CHANGE
  1641. // bz (cr): Refresh Device : addNotify
  1642. // Tcl Message: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
  1643. // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
  1644. // [GUI Memory]: 218 MB (+11136kb) [02:28:12]
  1645. dismissDialog("Refresh Device"); // bz
  1646. // Elapsed time: 23 seconds
  1647. selectButton(PAResourceItoN.IlaProbeTablePanel_SPECIFY_PROBES_FILE_AND_REFRESH, "Specify the probes file and refresh the device"); // d
  1648. // bK (cr): Refresh Device: addNotify
  1649. selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
  1650. dismissDialog("Refresh Device"); // bK
  1651. // Elapsed time: 29 seconds
  1652. selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k
  1653. closeTask("Program and Debug", "Hardware Manager", "DesignTask.PROGRAM_DEBUG");
  1654. // bz (cr): Close Hardware Manager : addNotify
  1655. // TclEventType: HW_OBJECT_DELETE
  1656. // TclEventType: HW_SERVER_CLOSE
  1657. // TclEventType: HW_SYSMON_DELETE
  1658. closeView(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // i
  1659. // TclEventType: HW_TARGET_CLOSE
  1660. // TclEventType: HW_TARGET_UPDATE
  1661. // TclEventType: DEBUG_PROBE_DELETE
  1662. // TclEventType: HW_SESSION_CLOSE
  1663. // WARNING: HEventQueue.dispatchEvent() is taking 1387 ms.
  1664. // Tcl Message: close_hw_manager
  1665. dismissDialog("Close Hardware Manager"); // bz
  1666. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 25, true); // u - Node
  1667. // Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER
  1668. // Tcl Command: 'rdi::info_commands get_hw_probes'
  1669. // bz (cr): Open Hardware Manager : addNotify
  1670. // TclEventType: HW_SESSION_OPEN
  1671. // WARNING: HEventQueue.dispatchEvent() is taking 1175 ms.
  1672. // Tcl Message: open_hw_manager
  1673. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  1674. dismissDialog("Open Hardware Manager"); // bz
  1675. selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h
  1676. selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak
  1677. // Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET
  1678. // TclEventType: HW_SERVER_UPDATE
  1679. // Tcl Message: connect_hw_server -allow_non_jtag
  1680. // Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042 INFO: [Labtools 27-3414] Connected to existing cs_server.
  1681. // TclEventType: HW_TARGET_CHANGE
  1682. // TclEventType: HW_TARGET_CLOSE
  1683. // TclEventType: HW_DEVICE_CHANGE
  1684. // TclEventType: HW_SYSMON_ADD
  1685. // TclEventType: HW_TARGET_UPDATE
  1686. // Tcl Message: open_hw_target
  1687. // Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/00001876fac901
  1688. // TclEventType: HW_DEVICE_CHANGE
  1689. // bz (cr): Auto Connect : addNotify
  1690. // CommandFailedException: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
  1691. // Tcl Message: set_property PROGRAM.FILE {C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.bit} [get_hw_devices xc7s25_0]
  1692. dismissDialog("Auto Connect"); // bz
  1693. selectButton(PAResourceOtoP.ProgramDebugTab_REFRESH_DEVICE, "Refresh device"); // h
  1694. selectMenuItem((HResource) null, "xc7s25_0"); // ak
  1695. // Run Command: PAResourceCommand.PACommandNames_REFRESH_DEVICE
  1696. // TclEventType: HW_DEVICE_CHANGE
  1697. // TclEventType: HW_SYSMON_CHANGE
  1698. // TclEventType: HW_ILA_CHANGE
  1699. // TclEventType: HW_DEVICE_UPDATE
  1700. // WARNING: HEventQueue.dispatchEvent() is taking 2112 ms.
  1701. // TclEventType: HW_DEVICE_CHANGE
  1702. // Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7s25_0] 0]
  1703. // Tcl Message: INFO: [Labtools 27-2302] Device xc7s25 (JTAG device index = 0) is programmed with a design that has 1 ILA core(s).
  1704. // TclEventType: HW_DEVICE_CHANGE
  1705. // bz (cr): Refresh Device : addNotify
  1706. // Tcl Message: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
  1707. // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
  1708. dismissDialog("Refresh Device"); // bz
  1709. // CommandFailedException: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/S5443Top.ltx
  1710. // Elapsed time: 71 seconds
  1711. selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "Properties", 1); // i
  1712. maximizeFrame(RDIResource.RDIViews_PROPERTIES, "Properties"); // az
  1713. // HMemoryUtils.trashcanNow. Engine heap size: 3,571 MB. GUI used memory: 146 MB. Current time: 8/30/23, 2:55:50 PM ICT
  1714. // Elapsed time: 16 seconds
  1715. unMaximizeFrame(RDIResource.RDIViews_PROPERTIES, "Properties"); // az
  1716. selectButton((HResource) null, "Properties_settings"); // x: TRUE
  1717. selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k
  1718. closeTask("Program and Debug", "Hardware Manager", "DesignTask.PROGRAM_DEBUG");
  1719. // bz (cr): Close Hardware Manager : addNotify
  1720. // TclEventType: HW_OBJECT_DELETE
  1721. // TclEventType: HW_SERVER_CLOSE
  1722. // TclEventType: HW_SYSMON_DELETE
  1723. closeView(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // i
  1724. // TclEventType: HW_TARGET_CLOSE
  1725. // TclEventType: HW_TARGET_UPDATE
  1726. // Tcl Message: close_hw_manager
  1727. // TclEventType: HW_TARGET_UPDATE
  1728. // TclEventType: DEBUG_PROBE_DELETE
  1729. // TclEventType: HW_SESSION_CLOSE
  1730. // WARNING: HEventQueue.dispatchEvent() is taking 1213 ms.
  1731. dismissDialog("Close Hardware Manager"); // bz
  1732. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation]", 20, true, false, false, false, true, false); // u - Popup Trigger - Node
  1733. selectMenuItem(PAResourceCommand.PACommandNames_IMPL_SETTINGS, "Implementation Settings..."); // ak
  1734. // Run Command: PAResourceCommand.PACommandNames_IMPL_SETTINGS
  1735. // d (cr): Settings: addNotify
  1736. // Elapsed time: 10 seconds
  1737. dismissDialog("Settings"); // d
  1738. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation]", 20, true, false, false, false, true, false); // u - Popup Trigger - Node
  1739. // [GUI Memory]: 250 MB (+23072kb) [02:31:21]
  1740. selectMenu(PAResourceEtoH.FlowNavigatorTreePanel_OPEN, "Open Implemented Design"); // ah
  1741. selectMenu(PAResourceEtoH.FlowNavigatorTreePanel_OPEN, "Open Implemented Design"); // ah
  1742. selectMenuItem(PAResourceEtoH.FlowNavigatorTreePanel_RESET_IMPLEMENTATION_RUN, "Reset Implementation Run"); // ak
  1743. // r (cr): Reset Runs: addNotify
  1744. selectButton(RDIResource.BaseDialog_OK, "Reset"); // a
  1745. // r (cr): Reset Runs: addNotify
  1746. // bz (r): Resetting Runs : addNotify
  1747. // TclEventType: RUN_MODIFY
  1748. // TclEventType: RUN_RESET
  1749. // TclEventType: RUN_STATUS_CHANGE
  1750. // Tcl Message: reset_run impl_1
  1751. // TclEventType: RUN_RESET
  1752. // TclEventType: RUN_MODIFY
  1753. // PAPropertyPanels.initPanels (impl_1) elapsed time: 0.3s
  1754. dismissDialog("Resetting Runs"); // bz
  1755. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 24, false); // u
  1756. // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
  1757. // bz (cr): Resetting Runs : addNotify
  1758. dismissDialog("Resetting Runs"); // bz
  1759. // TclEventType: FILESET_TARGET_UCF_CHANGE
  1760. // TclEventType: RUN_LAUNCH
  1761. // TclEventType: RUN_MODIFY
  1762. // TclEventType: RUN_STATUS_CHANGE
  1763. // bz (cr): Generate Bitstream : addNotify
  1764. // TclEventType: RUN_STATUS_CHANGE
  1765. // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 4
  1766. // Tcl Message: INFO: [Vivado 12-4149] The synthesis checkpoint for IP 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci' is already up-to-date
  1767. // Tcl Message: [Wed Aug 30 14:56:42 2023] Launched impl_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/runme.log
  1768. dismissDialog("Generate Bitstream"); // bz
  1769. // HMemoryUtils.trashcanNow. Engine heap size: 3,591 MB. GUI used memory: 141 MB. Current time: 8/30/23, 2:56:45 PM ICT
  1770. // TclEventType: RUN_STATUS_CHANGE
  1771. // TclEventType: RUN_STEP_COMPLETED
  1772. // TclEventType: RUN_COMPLETED
  1773. // TclEventType: RUN_STATUS_CHANGE
  1774. // Elapsed time: 402 seconds
  1775. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 25, true); // u - Node
  1776. // Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER
  1777. // Tcl Command: 'rdi::info_commands get_hw_probes'
  1778. // bz (cr): Open Hardware Manager : addNotify
  1779. // TclEventType: HW_SESSION_OPEN
  1780. // PAPropertyPanels.initPanels (impl_1) elapsed time: 0.2s
  1781. // WARNING: HEventQueue.dispatchEvent() is taking 1476 ms.
  1782. // Tcl Message: open_hw_manager
  1783. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  1784. dismissDialog("Open Hardware Manager"); // bz
  1785. // Elapsed time: 12 seconds
  1786. selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h
  1787. selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak
  1788. // Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET
  1789. // bz (cr): Auto Connect : addNotify
  1790. // Tcl Message: connect_hw_server -allow_non_jtag
  1791. // Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
  1792. // Tcl Message: INFO: [Labtools 27-2222] Launching hw_server...
  1793. // TclEventType: HW_SERVER_UPDATE
  1794. // Tcl Message: INFO: [Labtools 27-2221] Launch Output: ****** Xilinx hw_server v2020.2 **** Build date : Nov 18 2020 at 10:01:48 ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042
  1795. // Tcl Message: INFO: [Labtools 27-3417] Launching cs_server...
  1796. // TclEventType: HW_SERVER_UPDATE
  1797. // Tcl Message: INFO: [Labtools 27-2221] Launch Output: ******** Xilinx cs_server v2020.2 ****** Build date : Nov 04 2020-04:02:56 **** Build number : 2020.2.1604437376 ** Copyright 2017-2020 Xilinx, Inc. All Rights Reserved.
  1798. // Tcl Message: connect_hw_server: Time (s): cpu = 00:00:01 ; elapsed = 00:00:09 . Memory (MB): peak = 4321.742 ; gain = 0.000
  1799. // TclEventType: HW_TARGET_CHANGE
  1800. // TclEventType: HW_TARGET_CLOSE
  1801. // TclEventType: HW_DEVICE_CHANGE
  1802. // TclEventType: HW_SYSMON_ADD
  1803. // TclEventType: HW_TARGET_UPDATE
  1804. // Tcl Message: open_hw_target
  1805. // Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/00001876fac901
  1806. // TclEventType: HW_DEVICE_CHANGE
  1807. // CommandFailedException: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
  1808. // Tcl Message: set_property PROGRAM.FILE {C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.bit} [get_hw_devices xc7s25_0]
  1809. dismissDialog("Auto Connect"); // bz
  1810. // Elapsed time: 11 seconds
  1811. selectButton(PAResourceOtoP.ProgramDebugTab_REFRESH_DEVICE, "Refresh device"); // h
  1812. selectMenuItem((HResource) null, "xc7s25_0"); // ak
  1813. // Run Command: PAResourceCommand.PACommandNames_REFRESH_DEVICE
  1814. // TclEventType: HW_DEVICE_CHANGE
  1815. // TclEventType: HW_SYSMON_CHANGE
  1816. // TclEventType: HW_ILA_CHANGE
  1817. // TclEventType: HW_DEVICE_UPDATE
  1818. // Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7s25_0] 0]
  1819. // TclEventType: HW_DEVICE_UPDATE
  1820. // WARNING: HEventQueue.dispatchEvent() is taking 2000 ms.
  1821. // TclEventType: HW_DEVICE_CHANGE
  1822. // Tcl Message: INFO: [Labtools 27-2302] Device xc7s25 (JTAG device index = 0) is programmed with a design that has 1 ILA core(s).
  1823. // TclEventType: HW_DEVICE_CHANGE
  1824. // bz (cr): Refresh Device : addNotify
  1825. // Tcl Message: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
  1826. // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
  1827. dismissDialog("Refresh Device"); // bz
  1828. // Elapsed time: 14 seconds
  1829. selectButton(PAResourceItoN.IlaProbeTablePanel_SPECIFY_PROBES_FILE_AND_REFRESH, "Specify the probes file and refresh the device"); // d
  1830. // bK (cr): Refresh Device: addNotify
  1831. // Elapsed time: 22 seconds
  1832. selectButton(PAResourceQtoS.RefreshDeviceDialog_SPECIFY_DEBUG_PROBES_FILE, (String) null); // t
  1833. setFileChooser("C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.ltx");
  1834. selectButton(PAResourceQtoS.RefreshDeviceDialog_REFRESH_DEVICE, "Refresh"); // a
  1835. // TclEventType: HW_DEVICE_CHANGE
  1836. // Run Command: PAResourceCommand.PACommandNames_REFRESH_DEVICE
  1837. // Tcl Message: set_property PROBES.FILE {C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.ltx} [get_hw_devices xc7s25_0]
  1838. // Tcl Message: set_property FULL_PROBES.FILE {C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.ltx} [get_hw_devices xc7s25_0]
  1839. // TclEventType: HW_DEVICE_CHANGE
  1840. dismissDialog("Refresh Device"); // bK
  1841. // TclEventType: HW_SYSMON_CHANGE
  1842. // TclEventType: HW_DEVICE_UPDATE
  1843. // TclEventType: HW_DEVICE_CHANGE
  1844. // bz (cr): Refresh Device : addNotify
  1845. // TclEventType: HW_DEVICE_PROBES_CHANGE
  1846. // TclEventType: DEBUG_PROBE_SET_CHANGE
  1847. // TclEventType: DEBUG_PROBE_CHANGE
  1848. // TclEventType: HW_DEVICE_PROBES_CHANGE
  1849. // TclEventType: HW_ILA_CHANGE
  1850. // Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7s25_0] 0]
  1851. // Tcl Message: INFO: [Labtools 27-2302] Device xc7s25 (JTAG device index = 0) is programmed with a design that has 1 ILA core(s).
  1852. // TclEventType: HW_ILA_CHANGE
  1853. // TclEventType: WAVEFORM_UPDATE_TITLE
  1854. // TclEventType: WAVEFORM_OPEN_WCFG
  1855. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  1856. // TclEventType: WAVEFORM_MODEL_EVENT
  1857. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1858. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1859. // Waveform: addNotify
  1860. // TclEventType: WAVEFORM_UPDATE_TITLE
  1861. // Waveform: addNotify
  1862. // TclEventType: WAVEFORM_UPDATE_TITLE
  1863. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1864. // TclEventType: WAVEFORM_UPDATE_TITLE
  1865. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1866. // Tcl Message: display_hw_ila_data [ get_hw_ila_data hw_ila_data_1 -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
  1867. dismissDialog("Refresh Device"); // bz
  1868. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 154 MB. Current time: 8/30/23, 3:04:52 PM ICT
  1869. // TclEventType: WAVEFORM_MODEL_EVENT
  1870. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1871. // TclEventType: WAVEFORM_MODEL_EVENT
  1872. selectButton(PAResourceItoN.IlaProbeTablePanel_ADD_PROBE, "IlaProbeTablePanel_new"); // E
  1873. selectTree(PAResourceOtoP.ProbesView_PROBES_TREE, "[root, startMeasSync_reg_n_0]", 0, false); // N
  1874. selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "startMeasSync_reg_n_0 ; == ; [B] ; X ; probe6[0] ; ", 0, "X", 3); // A
  1875. editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 0, "Value", 3); // A
  1876. // TclEventType: DEBUG_PROBE_CHANGE
  1877. // Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bR [get_hw_probes startMeasSync_reg_n_0 -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
  1878. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1879. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 156 MB. Current time: 8/30/23, 3:05:07 PM ICT
  1880. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1881. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, adsc]", 0, true); // a - Node
  1882. // TclEventType: WAVEFORM_MODEL_EVENT
  1883. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1884. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1885. // TclEventType: WAVEFORM_UPDATE_TITLE
  1886. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1887. selectButton(RDIResource.WaveformView_ADD, "Waveform Viewer_new"); // E
  1888. selectTree(PAResourceOtoP.ProbesView_PROBES_TREE, "[root, InternalDsp/DspChannel[1].DspSecondTone/NcoSin_i[17:0]]", 3, false); // N
  1889. typeControlKey(PAResourceOtoP.ProbesView_PROBES_TREE, (String) null, 'a'); // N
  1890. selectButton(PAResourceAtoD.AddIlaProbesPopup_OK, "OK"); // a
  1891. // TclEventType: WAVEFORM_MODEL_EVENT
  1892. // TclEventType: DEBUG_PROBE_CHANGE
  1893. // TclEventType: WAVEFORM_MODEL_EVENT
  1894. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1895. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1896. // TclEventType: WAVEFORM_UPDATE_TITLE
  1897. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  1898. // Tcl Message: add_wave -into {hw_ila_data_1.wcfg} -radix hex { {InternalDsp/DspChannel[1].DspFirstTone/NcoCos_i} {InternalDsp/DspChannel[1].DspFirstTone/NcoSin_i} {InternalDsp/DspChannel[1].DspSecondTone/NcoCos_i} {InternalDsp/DspChannel[1].DspSecondTone/NcoSin_i} {ncoCos} {ncoSin} {startMeasSync_reg_n_0} }
  1899. // TclEventType: WAVEFORM_MODEL_EVENT
  1900. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1901. // TclEventType: WAVEFORM_MODEL_EVENT
  1902. selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E
  1903. // Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER
  1904. // TclEventType: HW_ILA_CHANGE
  1905. // Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
  1906. // Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2023-Aug-30 15:05:19
  1907. // Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
  1908. // TclEventType: HW_ILA_CHANGE
  1909. // Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
  1910. // Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2023-Aug-30 15:05:19
  1911. // TclEventType: WAVEFORM_GLASSPANE
  1912. // TclEventType: WAVEFORM_MODEL_EVENT
  1913. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1914. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1915. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  1916. // TclEventType: WAVEFORM_MODEL_EVENT
  1917. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1918. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1919. // TclEventType: WAVEFORM_MODEL_EVENT
  1920. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1921. // TclEventType: WAVEFORM_MODEL_EVENT
  1922. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1923. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1924. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:20 PM ICT
  1925. // TclEventType: WAVEFORM_MODEL_EVENT
  1926. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1927. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1928. // TclEventType: WAVEFORM_UPDATE_TITLE
  1929. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1930. // TclEventType: WAVEFORM_UPDATE_TITLE
  1931. // TclEventType: HW_WAVE_ZIP_EXPORT_DONE
  1932. // TclEventType: WAVEFORM_GLASSPANE
  1933. // Tcl Message: INFO: [Labtools 27-3304] ILA Waveform data saved to file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data.
  1934. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 293, 201); // n
  1935. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1936. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:21 PM ICT
  1937. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1938. // TclEventType: WAVEFORM_MODEL_EVENT
  1939. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1940. // TclEventType: WAVEFORM_MODEL_EVENT
  1941. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 277, 231); // n
  1942. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1943. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 156 MB. Current time: 8/30/23, 3:05:22 PM ICT
  1944. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1945. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // E
  1946. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:24 PM ICT
  1947. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 287, 207); // n
  1948. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1949. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 161 MB. Current time: 8/30/23, 3:05:25 PM ICT
  1950. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1951. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:25 PM ICT
  1952. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1953. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 155, 189); // n
  1954. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:26 PM ICT
  1955. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1956. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 161 MB. Current time: 8/30/23, 3:05:26 PM ICT
  1957. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1958. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 86, 168); // n
  1959. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:27 PM ICT
  1960. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1961. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:27 PM ICT
  1962. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1963. // Elapsed time: 13 seconds
  1964. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 179, 210); // n
  1965. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1966. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:40 PM ICT
  1967. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 191, 190); // n
  1968. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1969. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1970. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 463, 184); // n
  1971. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1972. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1973. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // E
  1974. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1975. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1976. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:51 PM ICT
  1977. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // E
  1978. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1979. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1980. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1981. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:53 PM ICT
  1982. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1983. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 232, 140); // n
  1984. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:58 PM ICT
  1985. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1986. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:58 PM ICT
  1987. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoCos[17:0]]", 4, true, true, false, false, false, false); // a - Shift Key - Node
  1988. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1989. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoCos[17:0]]", 4, true, false, false, false, true, false); // a - Popup Trigger - Node
  1990. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, InternalDsp/DspChannel[1].DspSecondTone/NcoCos_i[17:0]]", 2, true); // a - Node
  1991. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoCos[17:0]]", 4, true); // a - Node
  1992. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:06:04 PM ICT
  1993. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoSin[17:0]]", 5, true, true, false, false, false, false); // a - Shift Key - Node
  1994. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1995. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoSin[17:0]]", 5, true, false, false, false, true, false); // a - Popup Trigger - Node
  1996. selectMenu("Name"); // ah
  1997. selectMenu("Waveform Style"); // ah
  1998. selectMenu("Signal Color"); // ah
  1999. selectMenu("Radix"); // ah
  2000. selectMenu("Radix"); // ah
  2001. selectMenu("Signal Color"); // ah
  2002. selectMenu("Waveform Style"); // ah
  2003. selectMenuItem((HResource) null, "Analog"); // Y
  2004. // TclEventType: DEBUG_PROBE_CHANGE
  2005. // TclEventType: WAVEFORM_MODEL_EVENT
  2006. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2007. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2008. // TclEventType: WAVEFORM_UPDATE_TITLE
  2009. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2010. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:06:07 PM ICT
  2011. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2012. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // E
  2013. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2014. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:09 PM ICT
  2015. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2016. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, InternalDsp/DspChannel[1].DspSecondTone/NcoSin_i[17:0]]", 3, true); // a - Node
  2017. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, InternalDsp/DspChannel[1].DspFirstTone/NcoCos_i[17:0]]", 0, true, true, false, false, false, false); // a - Shift Key - Node
  2018. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:06:11 PM ICT
  2019. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, InternalDsp/DspChannel[1].DspFirstTone/NcoCos_i[17:0]]", 0, true, false, false, false, true, false); // a - Popup Trigger - Node
  2020. selectMenu("Name"); // ah
  2021. selectMenu("Waveform Style"); // ah
  2022. selectMenu("Signal Color"); // ah
  2023. selectMenu("Radix"); // ah
  2024. selectMenu("Radix"); // ah
  2025. selectMenu("Signal Color"); // ah
  2026. selectMenu("Waveform Style"); // ah
  2027. selectMenuItem((HResource) null, "Analog"); // Y
  2028. // TclEventType: DEBUG_PROBE_CHANGE
  2029. // TclEventType: WAVEFORM_MODEL_EVENT
  2030. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2031. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2032. // TclEventType: WAVEFORM_UPDATE_TITLE
  2033. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2034. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:15 PM ICT
  2035. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, InternalDsp/DspChannel[1].DspFirstTone/NcoCos_i[17:0]]", 0, true, false, false, false, true, false); // a - Popup Trigger - Node
  2036. selectMenu("Name"); // ah
  2037. selectMenu("Waveform Style"); // ah
  2038. selectMenu("Signal Color"); // ah
  2039. selectMenu("Radix"); // ah
  2040. selectMenu("Radix"); // ah
  2041. selectMenuItem((HResource) null, "Signed Decimal"); // Y
  2042. // TclEventType: WAVEFORM_MODEL_EVENT
  2043. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2044. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2045. // TclEventType: WAVEFORM_UPDATE_TITLE
  2046. // TclEventType: DEBUG_PROBE_CHANGE
  2047. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2048. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 235, 140); // nWaveform: addNotify
  2049. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:21 PM ICT
  2050. maximizeFrame(RDIResource.WorkspaceDockingHolder_DOCKABLE_FRAME, "Waveform - hw_ila_1"); // aa
  2051. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2052. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:23 PM ICT
  2053. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2054. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoCos[17:0]]", 4, true); // a - Node
  2055. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoSin[17:0]]", 5, true, true, false, false, false, false); // a - Shift Key - Node
  2056. // TclEventType: WAVEFORM_MODEL_EVENT
  2057. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2058. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2059. // TclEventType: WAVEFORM_UPDATE_TITLE
  2060. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2061. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:27 PM ICT
  2062. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2063. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 215, 124); // n
  2064. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2065. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 226, 211); // n
  2066. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2067. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2068. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:30 PM ICT
  2069. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 218, 324); // n
  2070. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2071. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2072. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 213, 433); // n
  2073. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2074. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2075. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 222, 351); // n
  2076. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2077. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 166 MB. Current time: 8/30/23, 3:06:33 PM ICT
  2078. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2079. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:06:33 PM ICT
  2080. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2081. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 236, 414); // n
  2082. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 162 MB. Current time: 8/30/23, 3:06:33 PM ICT
  2083. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2084. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:34 PM ICT
  2085. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 230, 226); // n
  2086. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2087. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:06:34 PM ICT
  2088. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2089. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:06:34 PM ICT
  2090. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2091. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 247, 95); // n
  2092. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:06:35 PM ICT
  2093. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2094. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 153, 123); // n
  2095. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:36 PM ICT
  2096. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2097. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:39 PM ICT
  2098. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2099. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // E
  2100. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2101. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:06:43 PM ICT
  2102. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // E
  2103. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2104. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2105. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // E
  2106. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2107. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2108. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2109. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // E
  2110. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2111. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:06:54 PM ICT
  2112. selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E
  2113. // Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER
  2114. // TclEventType: HW_ILA_CHANGE
  2115. // Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
  2116. // Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2023-Aug-30 15:06:57
  2117. // Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
  2118. // TclEventType: HW_ILA_CHANGE
  2119. // Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
  2120. // Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2023-Aug-30 15:06:57
  2121. // TclEventType: WAVEFORM_GLASSPANE
  2122. // TclEventType: WAVEFORM_MODEL_EVENT
  2123. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2124. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2125. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  2126. // TclEventType: WAVEFORM_MODEL_EVENT
  2127. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2128. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2129. // TclEventType: WAVEFORM_MODEL_EVENT
  2130. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2131. // TclEventType: WAVEFORM_MODEL_EVENT
  2132. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2133. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2134. // TclEventType: WAVEFORM_UPDATE_TITLE
  2135. // TclEventType: HW_WAVE_ZIP_EXPORT_DONE
  2136. // TclEventType: WAVEFORM_GLASSPANE
  2137. // Tcl Message: INFO: [Labtools 27-3304] ILA Waveform data saved to file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data.
  2138. selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E
  2139. // Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER
  2140. // TclEventType: HW_ILA_CHANGE
  2141. // Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
  2142. // Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2023-Aug-30 15:07:00
  2143. // TclEventType: WAVEFORM_MODEL_EVENT
  2144. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2145. // TclEventType: WAVEFORM_MODEL_EVENT
  2146. // Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
  2147. // TclEventType: HW_ILA_CHANGE
  2148. // Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
  2149. // Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2023-Aug-30 15:07:00
  2150. // TclEventType: WAVEFORM_GLASSPANE
  2151. // TclEventType: WAVEFORM_MODEL_EVENT
  2152. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2153. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2154. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:07:01 PM ICT
  2155. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  2156. // TclEventType: WAVEFORM_MODEL_EVENT
  2157. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2158. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2159. // TclEventType: WAVEFORM_MODEL_EVENT
  2160. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2161. // TclEventType: WAVEFORM_MODEL_EVENT
  2162. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2163. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2164. // TclEventType: WAVEFORM_UPDATE_TITLE
  2165. // TclEventType: HW_WAVE_ZIP_EXPORT_DONE
  2166. // TclEventType: WAVEFORM_GLASSPANE
  2167. // Tcl Message: INFO: [Labtools 27-3304] ILA Waveform data saved to file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data.
  2168. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2169. // TclEventType: WAVEFORM_MODEL_EVENT
  2170. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2171. // TclEventType: WAVEFORM_MODEL_EVENT
  2172. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 194, 216); // n
  2173. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2174. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2175. // Elapsed time: 20 seconds
  2176. selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E
  2177. // Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER
  2178. // TclEventType: HW_ILA_CHANGE
  2179. // Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
  2180. // Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2023-Aug-30 15:07:23
  2181. // TclEventType: HW_ILA_CHANGE
  2182. // Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
  2183. // TclEventType: HW_ILA_CHANGE
  2184. // TclEventType: WAVEFORM_GLASSPANE
  2185. // TclEventType: WAVEFORM_MODEL_EVENT
  2186. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2187. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2188. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:07:24 PM ICT
  2189. // Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
  2190. // Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2023-Aug-30 15:07:23
  2191. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  2192. // TclEventType: WAVEFORM_MODEL_EVENT
  2193. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2194. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2195. // TclEventType: WAVEFORM_MODEL_EVENT
  2196. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2197. // TclEventType: WAVEFORM_MODEL_EVENT
  2198. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2199. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2200. // TclEventType: WAVEFORM_UPDATE_TITLE
  2201. // TclEventType: HW_WAVE_ZIP_EXPORT_DONE
  2202. // TclEventType: WAVEFORM_GLASSPANE
  2203. // Tcl Message: INFO: [Labtools 27-3304] ILA Waveform data saved to file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data.
  2204. // TclEventType: WAVEFORM_MODEL_EVENT
  2205. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2206. // TclEventType: WAVEFORM_MODEL_EVENT
  2207. selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E
  2208. // Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER
  2209. // TclEventType: HW_ILA_CHANGE
  2210. // Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
  2211. // Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2023-Aug-30 15:07:30
  2212. // Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
  2213. // TclEventType: HW_ILA_CHANGE
  2214. // Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
  2215. // Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2023-Aug-30 15:07:30
  2216. // TclEventType: WAVEFORM_GLASSPANE
  2217. // TclEventType: WAVEFORM_MODEL_EVENT
  2218. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2219. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2220. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  2221. // TclEventType: WAVEFORM_MODEL_EVENT
  2222. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2223. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2224. // TclEventType: WAVEFORM_MODEL_EVENT
  2225. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2226. // TclEventType: WAVEFORM_MODEL_EVENT
  2227. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2228. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2229. // TclEventType: WAVEFORM_UPDATE_TITLE
  2230. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:07:32 PM ICT
  2231. // TclEventType: WAVEFORM_UPDATE_TITLE
  2232. // TclEventType: HW_WAVE_ZIP_EXPORT_DONE
  2233. // TclEventType: WAVEFORM_GLASSPANE
  2234. // Tcl Message: INFO: [Labtools 27-3304] ILA Waveform data saved to file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data.
  2235. selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E
  2236. // Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER
  2237. // TclEventType: HW_ILA_CHANGE
  2238. // Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
  2239. // Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2023-Aug-30 15:07:32
  2240. // Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
  2241. // TclEventType: WAVEFORM_MODEL_EVENT
  2242. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2243. // TclEventType: WAVEFORM_MODEL_EVENT
  2244. // TclEventType: HW_ILA_CHANGE
  2245. // Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
  2246. // Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2023-Aug-30 15:07:33
  2247. // TclEventType: WAVEFORM_GLASSPANE
  2248. // TclEventType: WAVEFORM_MODEL_EVENT
  2249. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2250. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2251. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  2252. // TclEventType: WAVEFORM_MODEL_EVENT
  2253. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2254. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2255. // TclEventType: WAVEFORM_MODEL_EVENT
  2256. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2257. // TclEventType: WAVEFORM_MODEL_EVENT
  2258. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2259. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2260. // TclEventType: WAVEFORM_UPDATE_TITLE
  2261. // TclEventType: HW_WAVE_ZIP_EXPORT_DONE
  2262. // TclEventType: WAVEFORM_GLASSPANE
  2263. // Tcl Message: INFO: [Labtools 27-3304] ILA Waveform data saved to file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data.
  2264. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2265. // TclEventType: WAVEFORM_MODEL_EVENT
  2266. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2267. // TclEventType: WAVEFORM_MODEL_EVENT
  2268. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 180, 426); // n
  2269. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2270. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2271. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:07:36 PM ICT
  2272. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 155, 431); // n
  2273. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2274. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2275. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 135, 441); // n
  2276. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2277. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2278. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 121, 441); // n
  2279. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2280. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2281. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:07:37 PM ICT
  2282. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 142, 421); // n
  2283. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2284. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2285. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 151, 419); // n
  2286. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2287. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2288. // WARNING: HEventQueue.dispatchEvent() is taking 2010 ms.
  2289. // TclEventType: HW_TARGET_NEEDS_CLOSE
  2290. // TclEventType: HW_TARGET_CLOSE
  2291. // TclEventType: HW_TARGET_NEEDS_CLOSE
  2292. // Elapsed time: 387 seconds
  2293. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 151, 419); // nWaveform: addNotify
  2294. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 151, 419); // nWaveform: addNotify
  2295. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 151, 419); // nWaveform: addNotify
  2296. // TclEventType: WAVEFORM_MODEL_EVENT
  2297. // TclEventType: WAVEFORM_CLOSE_WCFG
  2298. // TclEventType: HW_OBJECT_DELETE
  2299. // TclEventType: HW_TARGET_NEEDS_CLOSE
  2300. closeView(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // i
  2301. // TclEventType: HW_OBJECT_DELETE
  2302. // TclEventType: HW_SYSMON_DELETE
  2303. // TclEventType: HW_TARGET_UPDATE
  2304. // TclEventType: DEBUG_PROBE_DELETE
  2305. // TclEventType: DEBUG_PROBE_SET_CHANGE
  2306. // Tcl Message: ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Xilinx/00001876fac901
  2307. // TclEventType: HW_SERVER_UPDATE
  2308. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 151 MB. Current time: 8/30/23, 3:37:42 PM ICT
  2309. // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 150 MB. Current time: 8/30/23, 4:07:42 PM ICT