| 123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647164816491650165116521653165416551656165716581659166016611662166316641665166616671668166916701671167216731674167516761677167816791680168116821683168416851686168716881689169016911692169316941695169616971698169917001701170217031704170517061707170817091710171117121713171417151716171717181719172017211722172317241725172617271728172917301731173217331734173517361737173817391740174117421743174417451746174717481749175017511752175317541755175617571758175917601761176217631764176517661767176817691770177117721773177417751776177717781779178017811782178317841785178617871788178917901791179217931794179517961797179817991800180118021803180418051806180718081809181018111812181318141815181618171818181918201821182218231824182518261827182818291830183118321833183418351836183718381839184018411842184318441845184618471848184918501851185218531854185518561857185818591860186118621863186418651866186718681869187018711872187318741875187618771878187918801881188218831884188518861887188818891890189118921893189418951896189718981899190019011902190319041905190619071908190919101911191219131914191519161917191819191920192119221923192419251926192719281929193019311932193319341935193619371938193919401941194219431944194519461947194819491950195119521953195419551956195719581959196019611962196319641965196619671968196919701971197219731974197519761977197819791980198119821983198419851986198719881989199019911992199319941995199619971998199920002001200220032004200520062007200820092010201120122013201420152016201720182019202020212022202320242025202620272028202920302031203220332034203520362037203820392040204120422043204420452046204720482049205020512052205320542055205620572058205920602061206220632064206520662067206820692070207120722073207420752076207720782079208020812082208320842085208620872088208920902091209220932094209520962097209820992100210121022103210421052106210721082109211021112112211321142115211621172118211921202121212221232124212521262127212821292130213121322133213421352136213721382139214021412142214321442145214621472148214921502151215221532154215521562157215821592160216121622163216421652166216721682169217021712172217321742175217621772178217921802181218221832184218521862187218821892190219121922193219421952196219721982199220022012202220322042205220622072208220922102211221222132214221522162217221822192220222122222223222422252226222722282229223022312232223322342235223622372238223922402241224222432244224522462247224822492250225122522253225422552256225722582259226022612262226322642265226622672268226922702271227222732274227522762277227822792280228122822283228422852286228722882289229022912292229322942295229622972298229923002301230223032304230523062307230823092310231123122313231423152316231723182319232023212322232323242325 |
- /*
- Xilinx Vivado v2020.2 (64-bit) [Major: 2020, Minor: 2]
- SW Build: 3064766 on Wed Nov 18 09:12:45 MST 2020
- IP Build: 3064653 on Wed Nov 18 14:17:31 MST 2020
- Process ID (PID): 17916
- License: Customer
- Mode: GUI Mode
- Current time: Wed Aug 30 12:25:15 ICT 2023
- Time zone: Indochina Time (Asia/Bangkok)
- OS: Windows 10
- OS Version: 10.0
- OS Architecture: amd64
- Available processors (cores): 4
- Screen size: 1920x1080
- Screen resolution (DPI): 100
- Available screens: 2
- Default font: family=Dialog,name=Dialog,style=plain,size=12
- Scale size: 12
- Java version: 11.0.2 64-bit
- Java home: C:/Xilinx/Vivado/2020.2/tps/win64/jre11.0.2
- Java executable location: C:/Xilinx/Vivado/2020.2/tps/win64/jre11.0.2/bin/java.exe
- Java initial memory (-Xms): 128 MB
- Java maximum memory (-Xmx): 3 GB
- User name: Stepan
- User home directory: C:/Users/Stepan
- User working directory: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M
- User country: RU
- User language: ru
- User locale: ru_RU
- RDI_BASEROOT: C:/Xilinx/Vivado
- HDI_APPROOT: C:/Xilinx/Vivado/2020.2
- RDI_DATADIR: C:/Xilinx/Vivado/2020.2/data
- RDI_BINDIR: C:/Xilinx/Vivado/2020.2/bin
- Vivado preferences file location: C:/Users/Stepan/AppData/Roaming/Xilinx/Vivado/2020.2/vivado.xml
- Vivado preferences directory: C:/Users/Stepan/AppData/Roaming/Xilinx/Vivado/2020.2/
- Vivado layouts directory: C:/Users/Stepan/AppData/Roaming/Xilinx/Vivado/2020.2/data/layouts
- PlanAhead jar file location: C:/Xilinx/Vivado/2020.2/lib/classes/planAhead.jar
- Vivado log file location: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/vivado.log
- Vivado journal file location: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/vivado.jou
- Engine tmp dir: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/.Xil/Vivado-17916-DESKTOP-RMARCDV
- Xilinx Environment Variables
- ----------------------------
- XILINX: C:/Xilinx/Vivado/2020.2/ids_lite/ISE
- XILINX_DSP: C:/Xilinx/Vivado/2020.2/ids_lite/ISE
- XILINX_HLS: C:/Xilinx/Vitis_HLS/2020.2
- XILINX_PLANAHEAD: C:/Xilinx/Vivado/2020.2
- XILINX_SDK: C:/Xilinx/Vitis/2020.2
- XILINX_VITIS: C:/Xilinx/Vitis/2020.2
- XILINX_VIVADO: C:/Xilinx/Vivado/2020.2
- XILINX_VIVADO_HLS: C:/Xilinx/Vivado/2020.2
- GUI allocated memory: 157 MB
- GUI max memory: 3,072 MB
- Engine allocated memory: 1,123 MB
- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
- */
- // TclEventType: START_GUI
- // Tcl Message: start_gui
- // TclEventType: PROJECT_OPEN_DIALOG
- // [GUI Memory]: 85 MB (+87154kb) [00:00:06]
- // [Engine Memory]: 1,123 MB (+1025903kb) [00:00:06]
- // bz (cr): Open Project : addNotify
- // Opening Vivado Project: C:\Users\Stepan\Desktop\4portCompact\S5443Current\S5443_M\S5443.xpr. Version: Vivado v2020.2
- // TclEventType: DEBUG_PROBE_SET_CHANGE
- // Tcl Message: open_project C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.xpr
- // TclEventType: MSGMGR_MOVEMSG
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_NEW
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_CURRENT
- // TclEventType: PROJECT_DASHBOARD_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // HMemoryUtils.trashcanNow. Engine heap size: 1,123 MB. GUI used memory: 55 MB. Current time: 8/30/23, 12:25:16 PM ICT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_NEW
- // [GUI Memory]: 105 MB (+16229kb) [00:00:12]
- // [GUI Memory]: 111 MB (+748kb) [00:00:13]
- // WARNING: HEventQueue.dispatchEvent() is taking 2776 ms.
- // Tcl Message: open_project C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.xpr
- // Tcl Message: Scanning sources... Finished scanning sources
- // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2020.2/data/ip'.
- // Tcl Message: open_project: Time (s): cpu = 00:00:14 ; elapsed = 00:00:08 . Memory (MB): peak = 1126.176 ; gain = 0.000
- // Project name: S5443; location: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M; part: xc7s25csga324-2
- dismissDialog("Open Project"); // bz
- // Tcl Command: 'rdi::info_commands {device::*}'
- // Tcl Command: 'rdi::info_commands {debug::*}'
- // Tcl Command: 'rdi::info_commands {*}'
- // [GUI Memory]: 120 MB (+3794kb) [00:00:17]
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- // [GUI Memory]: 137 MB (+11482kb) [00:00:19]
- // a (cr): Critical Messages: addNotify
- // Elapsed time: 517 seconds
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Coefficient Files]", 5); // D
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 7); // D
- // Elapsed time: 13 seconds
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f
- dismissDialog("Critical Messages"); // a
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, S5443Top.xdc]", 9, false); // D
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, S5443Top.xdc]", 9, false, false, false, false, true, false); // D - Popup Trigger
- selectMenuItem(RDIResourceCommand.RDICommands_DELETE, "Remove File from Project..."); // ak
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // aE (cr): Remove Sources: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a
- dismissDialog("Remove Sources"); // aE
- // Tcl Message: export_ip_user_files -of_objects [get_files C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5443Top.xdc] -no_script -reset -force -quiet
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: remove_files -fileset constrs_1 C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5443Top.xdc
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5443Top (S5443Top.v)]", 1); // D
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5443Top (S5443Top.v)]", 1, true, false, false, false, true, false); // D - Popup Trigger - Node
- selectMenuItem(RDIResourceCommand.RDICommands_DELETE, "Remove File from Project..."); // ak
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // aE (cr): Remove Sources: addNotify
- selectCheckBox(PAResourceQtoS.RemoveSourcesDialog_ALSO_DELETE, "Also delete the project local file/directory from disk", false); // g: FALSE
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a
- dismissDialog("Remove Sources"); // aE
- // Tcl Message: export_ip_user_files -of_objects [get_files C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/S5443Top.v] -no_script -reset -force -quiet
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: remove_files C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/S5443Top.v
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources]", 0, true); // D - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources]", 0, true, false, false, false, true, false); // D - Popup Trigger - Node
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // ah
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // ah
- selectMenuItem(PAResourceCommand.PACommandNames_ADD_SOURCES, "Add Sources..."); // ak
- // Run Command: PAResourceCommand.PACommandNames_ADD_SOURCES
- // c (cr): Add Sources: addNotify
- selectButton("NEXT", "Next >"); // JButton
- selectButton(PAResourceQtoS.SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT, "Add Files"); // a
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- setFileChooser("C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/S5243Top.v");
- selectButton("FINISH", "Finish"); // JButton
- // 'g' command handler elapsed time: 5 seconds
- // TclEventType: FILE_SET_CHANGE
- dismissDialog("Add Sources"); // c
- // Tcl Message: add_files -norecurse C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/S5243Top.v
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1]", 25, false); // D
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // [GUI Memory]: 151 MB (+6676kb) [00:09:28]
- // Tcl Message: update_compile_order -fileset sources_1
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1]", 25, false, false, false, false, true, false); // D - Popup Trigger
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // ah
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // ah
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // ah
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // ah
- selectMenuItem(PAResourceCommand.PACommandNames_ADD_SOURCES, "Add Sources..."); // ak
- // Run Command: PAResourceCommand.PACommandNames_ADD_SOURCES
- // c (cr): Add Sources: addNotify
- selectButton("NEXT", "Next >"); // JButton
- selectButton(PAResourceAtoD.ConstraintsChooserPanel_ADD_FILES, "Add Files"); // a
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- // G (cr): Invalid Top Module: addNotify
- dismissDialog("Invalid Top Module"); // G
- setFileChooser("C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc");
- selectButton("FINISH", "Finish"); // JButton
- // 'g' command handler elapsed time: 11 seconds
- dismissDialog("Add Sources"); // c
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: add_files -fileset constrs_1 -norecurse C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v)]", 1, true); // D - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v)]", 1, true, false, false, false, true, false); // D - Popup Trigger - Node
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // ah
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // ah
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ak
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top S5243Top [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1]", 8); // D
- collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1]", 8); // D
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "IP Sources", 1); // i
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, IP, MeasDataFifo]", 2, true); // D - Node
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // aE (cr): Remove Sources: addNotify
- selectCheckBox(PAResourceQtoS.RemoveSourcesDialog_ALSO_DELETE, "Also delete the project local file/directory from disk", true); // g: TRUE
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a
- dismissDialog("Remove Sources"); // aE
- // Tcl Message: export_ip_user_files -of_objects [get_files C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo_1/MeasDataFifo.xci] -no_script -reset -force -quiet
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: PROJ_DESIGN_MODE_SET
- // aE (cr): Remove Sources: addNotify
- // bz (aE): Remove Sources : addNotify
- // TclEventType: PROJ_DESIGN_MODE_SET
- // Tcl Message: remove_files C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo_1/MeasDataFifo.xci
- // Tcl Message: file delete -force C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo_1 file delete -force c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo_1
- dismissDialog("Remove Sources"); // bz
- selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Hierarchy", 0); // i
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v)]", 1); // D
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v), ExternalDspInterface : DspInterface (DspInterface.v)]", 4); // D
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v), ExternalDspInterface : DspInterface (DspInterface.v), MeasDataFifoInst : MeasDataFifoWrapper (MeasDataFifoWrapper.v)]", 6); // D
- // Elapsed time: 32 seconds
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Project Manager, IP Catalog]", 4, false); // u
- // Run Command: PAResourceCommand.PACommandNames_CORE_GEN
- // [GUI Memory]: 161 MB (+2758kb) [00:10:41]
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "fifo"); // OverlayTextField
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "FIFO Generator ; AXI4, AXI4-Stream ; Production ; Included ; xilinx.com:ip:fifo_generator:13.2", 12, "FIFO Generator", 0, true); // L - Node
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "FIFO Generator ; AXI4, AXI4-Stream ; Production ; Included ; xilinx.com:ip:fifo_generator:13.2", 12); // L
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "FIFO Generator ; AXI4, AXI4-Stream ; Production ; Included ; xilinx.com:ip:fifo_generator:13.2", 12, "FIFO Generator", 0, true, false, false, false, false, true); // L - Double Click - Node
- // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_CORE
- // TclEventType: LOAD_FEATURE
- // [GUI Memory]: 176 MB (+7821kb) [00:10:46]
- // r (cr): Customize IP: addNotify
- // WARNING: HEventQueue.dispatchEvent() is taking 1375 ms.
- setText("Component Name", "MeasDataFifo"); // B
- selectTab(PAResourceEtoH.HACGCTabbedPane_TABBED_PANE, (HResource) null, "Native Ports", 1); // bi
- setText("Input Data Width", "256"); // B
- selectComboBox("Write Depth (Input_Depth)", "4096", 8); // E
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a
- // TclEventType: CREATE_IP_CORE
- dismissDialog("Customize IP"); // r
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: create_ip -name fifo_generator -vendor xilinx.com -library ip -version 13.2 -module_name MeasDataFifo
- // bz (cr): Customize IP : addNotify
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILESET_UPDATE_IP
- // Tcl Message: set_property -dict [list CONFIG.Component_Name {MeasDataFifo} CONFIG.Input_Data_Width {256} CONFIG.Input_Depth {4096} CONFIG.Output_Data_Width {256} CONFIG.Output_Depth {4096} CONFIG.Data_Count_Width {12} CONFIG.Write_Data_Count_Width {12} CONFIG.Read_Data_Count_Width {12} CONFIG.Full_Threshold_Assert_Value {4094} CONFIG.Full_Threshold_Negate_Value {4093}] [get_ips MeasDataFifo]
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target {instantiation_template} [get_files c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci]
- // Tcl Message: INFO: [IP_Flow 19-1686] Generating 'Instantiation Template' target for IP 'MeasDataFifo'...
- // aI (cr): Generate Output Products: addNotify
- dismissDialog("Customize IP"); // bz
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a
- // bz (cr): Managing Output Products : addNotify
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target all [get_files c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci]
- // Tcl Message: INFO: [IP_Flow 19-1686] Generating 'Synthesis' target for IP 'MeasDataFifo'... INFO: [IP_Flow 19-1686] Generating 'Simulation' target for IP 'MeasDataFifo'... INFO: [IP_Flow 19-1686] Generating 'Change Log' target for IP 'MeasDataFifo'...
- // Tcl Message: catch { config_ip_cache -export [get_ips -all MeasDataFifo] }
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: OOC_IP_CACHE_USED
- // Tcl Message: INFO: [IP_Flow 19-4993] Using cached IP synthesis design for IP MeasDataFifo, cache-ID = 18a407e504ec43e0; cache size = 1433.977 MB.
- // TclEventType: PROJ_DESIGN_MODE_SET
- // Tcl Message: export_ip_user_files -of_objects [get_files c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci] -no_script -sync -force -quiet
- // Tcl Message: create_ip_run [get_files -of_objects [get_fileset sources_1] c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci]
- // Tcl Message: INFO: [Vivado 12-3453] The given sub-design is up-to-date, no action was taken. If a run is still desired, use the '-force' option for the file:'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci'
- // CommandFailedException: ERROR: [Common 17-70] Application Exception: BlockMgr::getBlockSynthRun: null fileset
- selectButton("OptionPane.button", "OK"); // JButton
- selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "IP Sources", 1); // i
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, IP, MeasDataFifo]", 2); // D
- collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, IP, MeasDataFifo]", 2); // D
- selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Hierarchy", 0); // i
- // Elapsed time: 12 seconds
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v), ExternalDspInterface : DspInterface (DspInterface.v), MeasDataFifoInst : MeasDataFifoWrapper (MeasDataFifoWrapper.v), MeasDataFifoInst : MeasDataFifo (MeasDataFifo.xci)]", 7); // D
- // TclEventType: DG_GRAPH_STALE
- // Elapsed time: 18 seconds
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v), ExternalDspInterface : DspInterface (DspInterface.v), MeasDataFifoInst : MeasDataFifoWrapper (MeasDataFifoWrapper.v), MeasDataFifoInst : MeasDataFifo (MeasDataFifo.xci), MeasDataFifo(MeasDataFifo_arch) (MeasDataFifo.vhd)]", 8); // D
- collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v), ExternalDspInterface : DspInterface (DspInterface.v)]", 4); // D
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, S5243Top (S5243Top.v), InternalDsp : InternalDsp (InternalDsp.v)]", 6); // D
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // u
- // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
- // bz (cr): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // Tcl Message: reset_run synth_1
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // bz (cr): Starting Design Runs : addNotify
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_STATUS_CHANGE
- // Tcl Message: launch_runs synth_1 -jobs 4
- // Tcl Message: INFO: [Vivado 12-4149] The synthesis checkpoint for IP 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci' is already up-to-date
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Wed Aug 30 12:37:00 2023] Launched synth_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/synth_1/runme.log
- dismissDialog("Starting Design Runs"); // bz
- // TclEventType: RUN_STATUS_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 1,160 MB. GUI used memory: 103 MB. Current time: 8/30/23, 12:37:01 PM ICT
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1]", 71); // D
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // Elapsed time: 242 seconds
- collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 15); // u
- expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 15); // u
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Set Up Debug]", 18, false); // u
- // bz (cr): Open Synthesized Design : addNotify
- // Tcl Message: open_run synth_1 -name synth_1
- // Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7s25csga324-2
- // [Engine Memory]: 1,197 MB (+18904kb) [00:16:01]
- // WARNING: HTimer (ActiveMsgMonitor Process Messages Timer) is taking 376ms to process. Increasing delay to 2000 ms.
- // HMemoryUtils.trashcanNow. Engine heap size: 1,652 MB. GUI used memory: 104 MB. Current time: 8/30/23, 12:41:12 PM ICT
- // TclEventType: READ_XDC_FILE_START
- // TclEventType: READ_XDC_FILE_END
- // TclEventType: READ_XDC_FILE_START
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: POWER_CNS_STALE
- // TclEventType: POWER_REPORT_STALE
- // TclEventType: SDC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: POWER_REPORT_STALE
- // TclEventType: SDC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // HMemoryUtils.trashcanNow. Engine heap size: 1,989 MB. GUI used memory: 104 MB. Current time: 8/30/23, 12:41:31 PM ICT
- // TclEventType: UNMARK_DEBUG_NET
- // [Engine Memory]: 1,994 MB (+772872kb) [00:16:29]
- // TclEventType: UNMARK_DEBUG_NET
- // TclEventType: READ_XDC_FILE_END
- // TclEventType: FLOORPLAN_MODIFY
- // TclEventType: DESIGN_NEW
- // HMemoryUtils.trashcanNow. Engine heap size: 1,994 MB. GUI used memory: 104 MB. Current time: 8/30/23, 12:41:36 PM ICT
- // TclEventType: DESIGN_NEW
- // TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
- // Schematic: addNotify
- // WARNING: HEventQueue.dispatchEvent() is taking 2657 ms.
- // TclEventType: CURR_DESIGN_SET
- // Tcl Message: INFO: [Device 21-403] Loading part xc7s25csga324-2 INFO: [Project 1-454] Reading design checkpoint 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.dcp' for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst' INFO: [Project 1-454] Reading design checkpoint 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.dcp' for cell 'InternalDsp/sinCosMemFirstTone'
- // Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.448 . Memory (MB): peak = 1490.121 ; gain = 0.000
- // Tcl Message: INFO: [Netlist 29-17] Analyzing 2206 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2020.2 INFO: [Project 1-570] Preparing netlist for logic optimization
- // Tcl Message: Parsing XDC File [c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc] for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst/U0' Finished Parsing XDC File [c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc] for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst/U0' Parsing XDC File [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]
- // Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271] INFO: [Timing 38-2] Deriving generated clocks [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
- // Tcl Message: INFO: [Vivado 12-626] No clocks found. Please use 'create_clock' or 'create_generated_clock' command to create clocks. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
- // Tcl Message: INFO: [Vivado 12-626] No clocks found. Please use 'create_clock' or 'create_generated_clock' command to create clocks. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
- // Tcl Message: INFO: [Common 17-14] Message 'Vivado 12-507' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:388]
- // Tcl Message: INFO: [Common 17-14] Message 'Common 17-55' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:405]
- // Tcl Message: Finished Parsing XDC File [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]
- // Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
- // Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 2098.832 ; gain = 0.000
- // Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: A total of 16 instances were transformed. IBUFDS_DIFF_OUT => IBUFDS_DIFF_OUT (IBUFDS(x2)): 8 instances IBUFGDS_DIFF_OUT => IBUFDS_DIFF_OUT (IBUFDS(x2)): 2 instances IOBUF => IOBUF (IBUF, OBUFT): 6 instances
- // Elapsed time: 34 seconds
- closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
- // ExpRunCommands.openSynthResults elapsed time: 34.4s
- // Tcl Message: open_run: Time (s): cpu = 00:00:42 ; elapsed = 00:00:34 . Memory (MB): peak = 2098.832 ; gain = 935.395
- // Run Command: PAResourceCommand.PACommandNames_DEBUG_WIZARD
- // N (cr): Set Up Debug: addNotify
- dismissDialog("Open Synthesized Design"); // bz
- // bz (N): Filtering Net and Tracing Clock Domain : addNotify
- selectButton("NEXT", "Next >"); // JButton
- dismissDialog("Filtering Net and Tracing Clock Domain"); // bz
- // [GUI Memory]: 186 MB (+656kb) [00:16:56]
- // Elapsed time: 30 seconds
- selectTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, InternalDsp (InternalDsp), DspChannel[1].DspFirstTone (DspPipeline__3), Nets (686), NcoCos_i, NcoCos_i[7]]", 278, false); // bC
- // [GUI Memory]: 197 MB (+1879kb) [00:17:34]
- // Elapsed time: 27 seconds
- selectTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, InternalDsp (InternalDsp), DspChannel[1].DspSecondTone (DspPipeline), Nets (686), NcoCos_i, NcoCos_i[10]]", 404, false); // bC
- // HMemoryUtils.trashcanNow. Engine heap size: 2,076 MB. GUI used memory: 134 MB. Current time: 8/30/23, 12:42:46 PM ICT
- // u (cr): Generate Schematic : addNotify
- // [Engine Memory]: 2,094 MB (+486kb) [00:18:32]
- // Elapsed time: 56 seconds
- dismissDialog("Generate Schematic"); // u
- // PAPropertyPanels.initPanels (<const0>) elapsed time: 0.7s
- // Elapsed time: 12 seconds
- collapseTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, InternalDsp (InternalDsp), ncoSecondTone (CordicNco__1), Nets (2210), Sin_o]", 511); // bC
- // HMemoryUtils.trashcanNow. Engine heap size: 2,096 MB. GUI used memory: 139 MB. Current time: 8/30/23, 12:43:51 PM ICT
- selectTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, InternalDsp (InternalDsp), Nets (989), NcoSin_o, NcoSin_o[5]]", 118, false); // bC
- selectTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, InternalDsp (InternalDsp), Nets (989), NcoCos_o, NcoCos_o[10]]", 104, false); // bC
- // Elapsed time: 19 seconds
- selectTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, Nets (2623), ncoCos, ncoCos[8]]", 56, false); // bC
- selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "InternalDsp/NcoCos_o (18) ; gclk_BUFG ; FDRE ; Data and Trigger", 5, "InternalDsp/NcoCos_o (18)", 0, true); // aq - Node
- selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "InternalDsp/NcoSin_o (18) ; gclk_BUFG ; FDRE ; Data and Trigger", 4, "InternalDsp/NcoSin_o (18)", 0, true, false, true, false, false, false); // aq - Control Key - Node
- // Elapsed time: 17 seconds
- selectTree(PAResourceItoN.NetlistTreeView_NETLIST_TREE, "[S5243Top, Nets (2623), startMeasSync_reg_n_0]", 326, false); // bC
- selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ncoSin (18) ; gclk_BUFG ; FDRE ; Data and Trigger", 5, "Data and Trigger", 3, true); // aq - Node
- selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ncoCos (18) ; gclk_BUFG ; FDRE ; Data and Trigger", 4, "Data and Trigger", 3, true); // aq - Node
- selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ncoCos (18) ; gclk_BUFG ; FDRE ; Data and Trigger", 4, "Data and Trigger", 3, true); // aq - Node
- selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "InternalDsp/DspChannel[1].DspSecondTone/NcoSin_i (18) ; gclk_BUFG ; RAMB18E1 ; Data and Trigger", 3, "Data and Trigger", 3, true); // aq - Node
- selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "InternalDsp/DspChannel[1].DspSecondTone/NcoCos_i (18) ; gclk_BUFG ; RAMB18E1 ; Data and Trigger", 2, "Data and Trigger", 3, true); // aq - Node
- selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "InternalDsp/DspChannel[1].DspFirstTone/NcoCos_i (18) ; gclk_BUFG ; RAMB18E1 ; Data and Trigger", 0, "Data and Trigger", 3, true); // aq - Node
- selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "InternalDsp/DspChannel[1].DspFirstTone/NcoSin_i (18) ; gclk_BUFG ; RAMB18E1 ; Data and Trigger", 1, "Data and Trigger", 3, true); // aq - Node
- selectButton("NEXT", "Next >"); // JButton
- selectButton("BACK", "< Back"); // JButton
- selectButton("NEXT", "Next >"); // JButton
- selectButton("NEXT", "Next >"); // JButton
- selectButton("FINISH", "Finish"); // JButton
- // TclEventType: NETLIST_UPDATE
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: DEBUG_CORE_ADD
- // TclEventType: DEBUG_GRAPH_STALE
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: create_debug_core u_ila_0 ila
- // TclEventType: DEBUG_CORE_CONFIG_CHANGE
- // Tcl Message: set_property C_DATA_DEPTH 1024 [get_debug_cores u_ila_0]
- // TclEventType: DEBUG_CORE_CONFIG_CHANGE
- // bz (N): Set Up Debug : addNotify
- // TclEventType: DEBUG_CORE_CONFIG_CHANGE
- // Tcl Message: set_property C_TRIGIN_EN false [get_debug_cores u_ila_0]
- // TclEventType: DEBUG_CORE_CONFIG_CHANGE
- // Tcl Message: set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0]
- // TclEventType: DEBUG_CORE_CONFIG_CHANGE
- // Tcl Message: set_property C_ADV_TRIGGER false [get_debug_cores u_ila_0]
- // TclEventType: DEBUG_CORE_CONFIG_CHANGE
- // Tcl Message: set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0]
- // TclEventType: DEBUG_CORE_CONFIG_CHANGE
- // Tcl Message: set_property C_EN_STRG_QUAL false [get_debug_cores u_ila_0]
- // TclEventType: DEBUG_CORE_CONFIG_CHANGE
- // Tcl Message: set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0]
- // TclEventType: DEBUG_CORE_CONFIG_CHANGE
- // Tcl Message: set_property ALL_PROBE_SAME_MU_CNT 1 [get_debug_cores u_ila_0]
- // TclEventType: DEBUG_CORE_CONFIG_CHANGE
- // Tcl Message: connect_debug_port u_ila_0/clk [get_nets [list gclk_BUFG ]]
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: set_property port_width 18 [get_debug_ports u_ila_0/probe0]
- // TclEventType: NETLIST_UPDATE
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // Tcl Message: set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe0]
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: NETLIST_UPDATE
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: create_debug_port u_ila_0 probe
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: set_property port_width 18 [get_debug_ports u_ila_0/probe1]
- // TclEventType: NETLIST_UPDATE
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // Tcl Message: set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe1]
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: NETLIST_UPDATE
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: create_debug_port u_ila_0 probe
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: set_property port_width 18 [get_debug_ports u_ila_0/probe2]
- // TclEventType: NETLIST_UPDATE
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // Tcl Message: set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe2]
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: NETLIST_UPDATE
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: create_debug_port u_ila_0 probe
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: set_property port_width 18 [get_debug_ports u_ila_0/probe3]
- // TclEventType: NETLIST_UPDATE
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // Tcl Message: set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe3]
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: NETLIST_UPDATE
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: create_debug_port u_ila_0 probe
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: set_property port_width 18 [get_debug_ports u_ila_0/probe4]
- // TclEventType: NETLIST_UPDATE
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // Tcl Message: set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe4]
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: connect_debug_port u_ila_0/probe4 [get_nets [list {ncoCos[0]} {ncoCos[1]} {ncoCos[2]} {ncoCos[3]} {ncoCos[4]} {ncoCos[5]} {ncoCos[6]} {ncoCos[7]} {ncoCos[8]} {ncoCos[9]} {ncoCos[10]} {ncoCos[11]} {ncoCos[12]} {ncoCos[13]} {ncoCos[14]} {ncoCos[15]} {ncoCos[16]} {ncoCos[17]} ]]
- // TclEventType: NETLIST_UPDATE
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: create_debug_port u_ila_0 probe
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: set_property port_width 18 [get_debug_ports u_ila_0/probe5]
- // TclEventType: NETLIST_UPDATE
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // Tcl Message: set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe5]
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: connect_debug_port u_ila_0/probe5 [get_nets [list {ncoSin[0]} {ncoSin[1]} {ncoSin[2]} {ncoSin[3]} {ncoSin[4]} {ncoSin[5]} {ncoSin[6]} {ncoSin[7]} {ncoSin[8]} {ncoSin[9]} {ncoSin[10]} {ncoSin[11]} {ncoSin[12]} {ncoSin[13]} {ncoSin[14]} {ncoSin[15]} {ncoSin[16]} {ncoSin[17]} ]]
- // TclEventType: NETLIST_UPDATE
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: NETLIST_UPDATE
- // Tcl Message: create_debug_port u_ila_0 probe
- // Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe6]
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe6]
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: NETLIST_UPDATE
- // HMemoryUtils.trashcanNow. Engine heap size: 2,113 MB. GUI used memory: 140 MB. Current time: 8/30/23, 12:45:02 PM ICT
- // Tcl Message: connect_debug_port u_ila_0/probe6 [get_nets [list startMeasSync_reg_n_0 ]]
- // TclEventType: DEBUG_GRAPH_STALE
- dismissDialog("Set Up Debug"); // bz
- dismissDialog("Set Up Debug"); // N
- // Run Command: PAResourceCommand.PACommandNames_SAVE_DESIGN
- // a (cr): Save Constraints: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a
- // TclEventType: FILESET_TARGET_UCF_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // bz (cr): Save Constraints : addNotify
- // Tcl Message: set_property target_constrs_file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc [current_fileset -constrset]
- dismissDialog("Save Constraints"); // a
- // TclEventType: DESIGN_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DESIGN_SAVE
- // TclEventType: FILESET_TARGET_UCF_CHANGE
- // Tcl Message: save_constraints -force
- dismissDialog("Save Constraints"); // bz
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Elapsed time: 26 seconds
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // u
- // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
- // bz (cr): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: DESIGN_STALE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // bz (cr): Starting Design Runs : addNotify
- // Tcl Message: launch_runs synth_1 -jobs 4
- // TclEventType: FILESET_TARGET_UCF_CHANGE
- // TclEventType: DESIGN_STALE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // Tcl Message: INFO: [Vivado 12-4149] The synthesis checkpoint for IP 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci' is already up-to-date
- // Tcl Message: [Wed Aug 30 12:45:42 2023] Launched synth_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/synth_1/runme.log
- // Tcl Message: launch_runs: Time (s): cpu = 00:00:00 ; elapsed = 00:00:08 . Memory (MB): peak = 2143.074 ; gain = 0.000
- // 'k' command handler elapsed time: 8 seconds
- dismissDialog("Starting Design Runs"); // bz
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: DESIGN_STALE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // Elapsed time: 312 seconds
- selectButton(PAResourceOtoP.ProjectTab_RELOAD, "Reload"); // h
- // bz (cr): Reloading : addNotify
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: DESIGN_STALE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run blk_mem_gen_0_synth_1
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: launch_runs blk_mem_gen_0_synth_1
- // Tcl Message: INFO: [IP_Flow 19-1686] Generating 'Synthesis' target for IP 'blk_mem_gen_0'...
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJ_DESIGN_MODE_SET
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: INFO: [IP_Flow 19-4993] Using cached IP synthesis design for IP blk_mem_gen_0, cache-ID = 322cb9b57f15157a; cache size = 1433.977 MB. INFO: [Vivado 12-4149] The synthesis checkpoint for IP 'C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.xci' is already up-to-date
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DESIGN_STALE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Wed Aug 30 12:50:57 2023] Launched blk_mem_gen_0_synth_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/blk_mem_gen_0_synth_1/runme.log
- // TclEventType: RUN_STATUS_CHANGE
- // Tcl Message: wait_on_run blk_mem_gen_0_synth_1
- // Tcl Message: [Wed Aug 30 12:50:57 2023] Waiting for blk_mem_gen_0_synth_1 to finish...
- // TclEventType: RUN_STATUS_CHANGE
- // Tcl Message: [Wed Aug 30 12:51:02 2023] Waiting for blk_mem_gen_0_synth_1 to finish...
- // Tcl Message: [Wed Aug 30 12:51:07 2023] Waiting for blk_mem_gen_0_synth_1 to finish...
- // TclEventType: DESIGN_STALE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // Tcl Message: [Wed Aug 30 12:51:12 2023] Waiting for blk_mem_gen_0_synth_1 to finish...
- // Tcl Message: *** Running vivado with args -log blk_mem_gen_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source blk_mem_gen_0.tcl ****** Vivado v2020.2 (64-bit) **** SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020 **** IP Build 3064653 on Wed Nov 18 14:17:31 MST 2020 ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. source blk_mem_gen_0.tcl -notrace INFO: [IP_Flow 19-4838] Using cached IP synthesis design for IP blk_mem_gen_0, cache-ID = 322cb9b57f15157a. INFO: [Common 17-206] Exiting Vivado at Wed Aug 30 12:51:10 2023...
- // Tcl Message: [Wed Aug 30 12:51:12 2023] blk_mem_gen_0_synth_1 finished
- // Tcl Message: wait_on_run: Time (s): cpu = 00:00:01 ; elapsed = 00:00:15 . Memory (MB): peak = 2145.742 ; gain = 0.000
- // Tcl Message: refresh_design
- // TclEventType: DESIGN_REFRESH
- // Engine heap size: 2,129 MB. GUI used memory: 168 MB. Current time: 8/30/23, 12:51:15 PM ICT
- // WARNING: HEventQueue.dispatchEvent() is taking 1018 ms.
- // HMemoryUtils.trashcanNow. Engine heap size: 2,129 MB. GUI used memory: 111 MB. Current time: 8/30/23, 12:51:15 PM ICT
- // Tcl Message: INFO: [Project 1-454] Reading design checkpoint 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.dcp' for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst' INFO: [Project 1-454] Reading design checkpoint 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.dcp' for cell 'InternalDsp/sinCosMemFirstTone'
- // TclEventType: READ_XDC_FILE_START
- // TclEventType: READ_XDC_FILE_END
- // TclEventType: READ_XDC_FILE_START
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: POWER_CNS_STALE
- // TclEventType: POWER_REPORT_STALE
- // TclEventType: SDC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: POWER_REPORT_STALE
- // TclEventType: SDC_CONSTRAINT_ADD
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: PLACEDB_MODIFIY_PRE
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: UNMARK_DEBUG_NET
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: DEBUG_CORE_ADD
- // TclEventType: DEBUG_CORE_CONFIG_CHANGE
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: DEBUG_PORT_ADD
- // TclEventType: DEBUG_PORT_CONFIG_CHANGE
- // TclEventType: DEBUG_CORE_CONFIG_CHANGE
- // TclEventType: READ_XDC_FILE_END
- // TclEventType: FLOORPLAN_MODIFY
- // TclEventType: DESIGN_REFRESH
- // HMemoryUtils.trashcanNow. Engine heap size: 2,129 MB. GUI used memory: 110 MB. Current time: 8/30/23, 12:51:28 PM ICT
- // TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
- // Schematic: addNotify
- // WARNING: HEventQueue.dispatchEvent() is taking 2259 ms.
- // Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.416 . Memory (MB): peak = 2167.391 ; gain = 0.000
- // Tcl Message: INFO: [Netlist 29-17] Analyzing 1937 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2020.2 INFO: [Project 1-570] Preparing netlist for logic optimization
- // Tcl Message: Parsing XDC File [c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc] for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst/U0' Finished Parsing XDC File [c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc] for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst/U0' Parsing XDC File [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]
- // Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271] INFO: [Timing 38-2] Deriving generated clocks [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
- // Tcl Message: INFO: [Vivado 12-626] No clocks found. Please use 'create_clock' or 'create_generated_clock' command to create clocks. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
- // Tcl Message: INFO: [Vivado 12-626] No clocks found. Please use 'create_clock' or 'create_generated_clock' command to create clocks. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
- // Tcl Message: INFO: [Common 17-14] Message 'Vivado 12-507' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:388]
- // Tcl Message: INFO: [Common 17-14] Message 'Common 17-55' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:405]
- // Tcl Message: Finished Parsing XDC File [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]
- // Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
- // Elapsed time: 34 seconds
- closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
- // Tcl Message: refresh_design: Time (s): cpu = 00:00:24 ; elapsed = 00:00:17 . Memory (MB): peak = 2210.375 ; gain = 64.633
- dismissDialog("Reloading"); // bz
- // TclEventType: FILE_SET_CHANGE
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 20, false); // u
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // bz (cr): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: DESIGN_STALE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- dismissDialog("Resetting Runs"); // bz
- // bz (cr): Generate Bitstream : addNotify
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 4
- // TclEventType: FILESET_TARGET_UCF_CHANGE
- // TclEventType: DESIGN_STALE
- // TclEventType: RUN_LAUNCH
- // TclEventType: FILESET_TARGET_UCF_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // Tcl Message: INFO: [Vivado 12-4149] The synthesis checkpoint for IP 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci' is already up-to-date
- // Tcl Message: [Wed Aug 30 12:51:41 2023] Launched synth_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/synth_1/runme.log [Wed Aug 30 12:51:41 2023] Launched impl_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/runme.log
- dismissDialog("Generate Bitstream"); // bz
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: DESIGN_STALE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 2,129 MB. GUI used memory: 128 MB. Current time: 8/30/23, 1:21:32 PM ICT
- // WARNING: HEventQueue.dispatchEvent() is taking 3100425 ms.
- // HMemoryUtils.trashcanNow. Engine heap size: 2,129 MB. GUI used memory: 127 MB. Current time: 8/30/23, 2:16:40 PM ICT
- // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 1043 ms. Increasing delay to 3129 ms.
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Elapsed time: 5678 seconds
- selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k
- closeTask("Synthesis", "Synthesized Design", "DesignTask.NETLIST_PLANNING");
- // bz (cr): Close : addNotify
- // TclEventType: DESIGN_CLOSE
- // Engine heap size: 2,129 MB. GUI used memory: 163 MB. Current time: 8/30/23, 2:26:22 PM ICT
- // WARNING: HEventQueue.dispatchEvent() is taking 1538 ms.
- // TclEventType: CURR_DESIGN_SET
- // HMemoryUtils.trashcanNow. Engine heap size: 2,129 MB. GUI used memory: 105 MB. Current time: 8/30/23, 2:26:22 PM ICT
- // Tcl Message: close_design
- // TclEventType: DESIGN_CLOSE
- dismissDialog("Close"); // bz
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Elapsed time: 51 seconds
- collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 12); // u
- expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 12); // u
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design, Schematic]", 15, false); // u
- // bz (cr): Open Elaborated Design : addNotify
- // TclEventType: ELABORATE_START
- // Tcl Message: synth_design -rtl -rtl_skip_mlo -name rtl_1
- // Tcl Message: Command: synth_design -rtl -rtl_skip_mlo -name rtl_1 Starting synth_design Using part: xc7s25csga324-2 Top: S5243Top
- // HMemoryUtils.trashcanNow. Engine heap size: 2,138 MB. GUI used memory: 103 MB. Current time: 8/30/23, 2:27:29 PM ICT
- // TclEventType: ELABORATE_FINISH
- // TclEventType: READ_XDC_FILE_START
- // TclEventType: READ_XDC_FILE_END
- // TclEventType: READ_XDC_FILE_START
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: POWER_CNS_STALE
- // TclEventType: POWER_REPORT_STALE
- // TclEventType: SDC_CONSTRAINT_ADD
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: POWER_REPORT_STALE
- // TclEventType: SDC_CONSTRAINT_ADD
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // TclEventType: LOC_CONSTRAINT_ADD
- // TclEventType: SIGNAL_MODIFY
- // [Engine Memory]: 2,206 MB (+7959kb) [02:02:30]
- // TclEventType: READ_XDC_FILE_END
- // TclEventType: FLOORPLAN_MODIFY
- // TclEventType: DESIGN_NEW
- // HMemoryUtils.trashcanNow. Engine heap size: 2,211 MB. GUI used memory: 103 MB. Current time: 8/30/23, 2:27:36 PM ICT
- // TclEventType: DESIGN_NEW
- // TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
- // Schematic: addNotify
- // WARNING: HEventQueue.dispatchEvent() is taking 2059 ms.
- // TclEventType: CURR_DESIGN_SET
- // Tcl Message: ---------------------------------------------------------------------------------
- // Tcl Message: Starting RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2210.375 ; gain = 0.000
- // Tcl Message: ---------------------------------------------------------------------------------
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'S5243Top' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/S5243Top.v:32]
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'OverloadDetect' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/GainOverloadControl/OverloadDetect.v:21]
- // Tcl Message: Parameter ThresholdWidth bound to: 24 - type: integer Parameter AdcDataWidth bound to: 14 - type: integer Parameter MeasPeriod bound to: 44 - type: integer Parameter CntWidth bound to: 6 - type: integer Parameter SumWidth bound to: 20 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'OverloadDetect' (1#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/GainOverloadControl/OverloadDetect.v:21] INFO: [Synth 8-6157] synthesizing module 'GainControlWrapper' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/GainOverloadControl/GainControlWrapper.v:22]
- // Tcl Message: Parameter AdcDataWidth bound to: 14 - type: integer Parameter ThresholdWidth bound to: 24 - type: integer Parameter PhIncWidth bound to: 32 - type: integer Parameter IfNcoOutWidth bound to: 18 - type: integer Parameter MeasPeriod bound to: 44 - type: integer Parameter MultDataWidth bound to: 36 - type: integer
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'MultModule' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/Math/MultModule.v:21]
- // Tcl Message: Parameter AdcDataWidth bound to: 14 - type: integer Parameter IfNcoOutWidth bound to: 18 - type: integer Parameter MultDataWidth bound to: 36 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'MultModule' (2#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/Math/MultModule.v:21] INFO: [Synth 8-6157] synthesizing module 'GainControl' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/GainOverloadControl/GainControl.v:22]
- // Tcl Message: Parameter AdcNcoMultWidth bound to: 36 - type: integer Parameter ThresholdWidth bound to: 24 - type: integer Parameter AdcDataWidth bound to: 14 - type: integer Parameter MeasPeriod bound to: 44 - type: integer Parameter CntWidth bound to: 32 - type: integer Parameter Delay bound to: 100 - type: integer Parameter AverageDelay bound to: 143 - type: integer Parameter SumWidth bound to: 41 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'GainControl' (3#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/GainOverloadControl/GainControl.v:22] INFO: [Synth 8-6155] done synthesizing module 'GainControlWrapper' (4#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/GainOverloadControl/GainControlWrapper.v:22] INFO: [Synth 8-6157] synthesizing module 'Mux' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/Mux.v:21]
- // Tcl Message: Parameter CmdRegWidth bound to: 32 - type: integer Parameter PGenNum bound to: 7 - type: integer Parameter TrigPortsNum bound to: 6 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'Mux' (5#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/Mux.v:21] INFO: [Synth 8-6157] synthesizing module 'PulseGen' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/PulseGen.v:21]
- // Tcl Message: Parameter CmdRegWidth bound to: 32 - type: integer Parameter IDLE bound to: 2'b00 Parameter DELAY bound to: 2'b01 Parameter PULSE bound to: 2'b10 Parameter DISABLED bound to: 8'b00000000 Parameter SINGLE bound to: 8'b00000001 Parameter DOUBLE bound to: 8'b00000010 Parameter TRIPPLE bound to: 8'b00000011 Parameter BURST bound to: 8'b00000100 Parameter CONTINIOUS bound to: 8'b00000101
- // Tcl Message: INFO: [Synth 8-155] case statement is not full and has no default [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/PulseGen.v:243] INFO: [Synth 8-6155] done synthesizing module 'PulseGen' (6#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/PulseGen.v:21] INFO: [Synth 8-6157] synthesizing module 'IBUFDS' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:32998]
- // Tcl Message: Parameter CAPACITANCE bound to: DONT_CARE - type: string Parameter DIFF_TERM bound to: FALSE - type: string Parameter DQS_BIAS bound to: FALSE - type: string Parameter IBUF_DELAY_VALUE bound to: 0 - type: string Parameter IBUF_LOW_PWR bound to: TRUE - type: string Parameter IFD_DELAY_VALUE bound to: AUTO - type: string Parameter IOSTANDARD bound to: DEFAULT - type: string
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'IBUFDS' (7#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:32998] INFO: [Synth 8-6157] synthesizing module 'Clk200Gen' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/Clk200Gen.v:1] INFO: [Synth 8-6157] synthesizing module 'PLLE2_ADV' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:61526]
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'PLLE2_ADV' (8#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:61526] INFO: [Synth 8-6157] synthesizing module 'BUFG' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:1083] INFO: [Synth 8-6155] done synthesizing module 'BUFG' (9#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:1083] INFO: [Synth 8-6155] done synthesizing module 'Clk200Gen' (10#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/Clk200Gen.v:1] INFO: [Synth 8-6157] synthesizing module 'AdcDataInterface' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/AdcDataInterface.v:21]
- // Tcl Message: Parameter AdcDataWidth bound to: 14 - type: integer Parameter ChNum bound to: 4 - type: integer Parameter Ratio bound to: 8 - type: integer
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'top5x2_7to1_sdr_rx' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/top5x2_7to1_sdr_rx.v:59]
- // Tcl Message: Parameter D bound to: 4 - type: integer Parameter N bound to: 1 - type: integer Parameter DataWidth bound to: 14 - type: integer
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'IDELAYCTRL' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35060]
- // Tcl Message: Parameter SIM_DEVICE bound to: 7SERIES - type: string
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'IDELAYCTRL' (11#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35060] INFO: [Synth 8-6157] synthesizing module 'n_x_serdes_1_to_7_mmcm_idelay_sdr' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v:59]
- // Tcl Message: Parameter N bound to: 1 - type: integer Parameter D bound to: 4 - type: integer Parameter MMCM_MODE bound to: 4 - type: integer Parameter CLKIN_PERIOD bound to: 40.000000 - type: double Parameter HIGH_PERFORMANCE_MODE bound to: FALSE - type: string Parameter DIFF_TERM bound to: TRUE - type: string Parameter SAMPL_CLOCK bound to: BUF_G - type: string Parameter PIXEL_CLOCK bound to: BUF_G - type: string Parameter USE_PLL bound to: TRUE - type: string Parameter DATA_FORMAT bound to: PER_CHANL - type: string
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'serdes_1_to_7_mmcm_idelay_sdr' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v:62]
- // Tcl Message: Parameter D bound to: 4 - type: integer Parameter MMCM_MODE bound to: 4 - type: integer Parameter HIGH_PERFORMANCE_MODE bound to: FALSE - type: string Parameter CLKIN_PERIOD bound to: 40.000000 - type: double Parameter DIFF_TERM bound to: TRUE - type: string Parameter SAMPL_CLOCK bound to: BUF_G - type: string Parameter PIXEL_CLOCK bound to: BUF_G - type: string Parameter USE_PLL bound to: TRUE - type: string Parameter DATA_FORMAT bound to: PER_CHANL - type: string Parameter RX_SWAP_MASK bound to: 4'b0000
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'PLLE2_ADV__parameterized0' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:61526]
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'PLLE2_ADV__parameterized0' (11#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:61526] INFO: [Synth 8-6157] synthesizing module 'delay_controller_wrap' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/delay_controller_wrap.v:59]
- // Tcl Message: Parameter S bound to: 7 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'delay_controller_wrap' (12#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/delay_controller_wrap.v:59] INFO: [Synth 8-6157] synthesizing module 'IBUFDS_DIFF_OUT' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:33052]
- // Tcl Message: Parameter DIFF_TERM bound to: TRUE - type: string Parameter DQS_BIAS bound to: FALSE - type: string Parameter IBUF_LOW_PWR bound to: TRUE - type: string Parameter IOSTANDARD bound to: DEFAULT - type: string
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'IBUFDS_DIFF_OUT' (13#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:33052] INFO: [Synth 8-6157] synthesizing module 'IDELAYE2' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35073]
- // Tcl Message: Parameter CINVCTRL_SEL bound to: FALSE - type: string Parameter DELAY_SRC bound to: IDATAIN - type: string Parameter HIGH_PERFORMANCE_MODE bound to: FALSE - type: string Parameter IDELAY_TYPE bound to: VAR_LOAD - type: string Parameter IDELAY_VALUE bound to: 0 - type: integer Parameter IS_C_INVERTED bound to: 1'b0 Parameter IS_DATAIN_INVERTED bound to: 1'b0 Parameter IS_IDATAIN_INVERTED bound to: 1'b0 Parameter PIPE_SEL bound to: FALSE - type: string Parameter REFCLK_FREQUENCY bound to: 200.000000 - type: double Parameter SIGNAL_PATTERN bound to: DATA - type: string Parameter SIM_DELAY_D bound to: 0 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'IDELAYE2' (14#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35073] INFO: [Synth 8-6157] synthesizing module 'ISERDESE2' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:38620]
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'ISERDESE2' (15#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:38620] INFO: [Synth 8-6157] synthesizing module 'IBUFGDS_DIFF_OUT' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:33489]
- // Tcl Message: Parameter DIFF_TERM bound to: TRUE - type: string Parameter DQS_BIAS bound to: FALSE - type: string Parameter IBUF_LOW_PWR bound to: FALSE - type: string Parameter IOSTANDARD bound to: DEFAULT - type: string
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'IBUFGDS_DIFF_OUT' (16#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:33489] INFO: [Synth 8-6157] synthesizing module 'IDELAYE2__parameterized0' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35073]
- // Tcl Message: Parameter CINVCTRL_SEL bound to: FALSE - type: string Parameter DELAY_SRC bound to: IDATAIN - type: string Parameter HIGH_PERFORMANCE_MODE bound to: FALSE - type: string Parameter IDELAY_TYPE bound to: VAR_LOAD - type: string Parameter IDELAY_VALUE bound to: 1 - type: integer Parameter IS_C_INVERTED bound to: 1'b0 Parameter IS_DATAIN_INVERTED bound to: 1'b0 Parameter IS_IDATAIN_INVERTED bound to: 1'b0 Parameter PIPE_SEL bound to: FALSE - type: string Parameter REFCLK_FREQUENCY bound to: 200.000000 - type: double Parameter SIGNAL_PATTERN bound to: DATA - type: string Parameter SIM_DELAY_D bound to: 0 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'IDELAYE2__parameterized0' (16#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35073] INFO: [Synth 8-6155] done synthesizing module 'serdes_1_to_7_mmcm_idelay_sdr' (17#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/serdes_1_to_7_mmcm_idelay_sdr.v:62] INFO: [Synth 8-6155] done synthesizing module 'n_x_serdes_1_to_7_mmcm_idelay_sdr' (18#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/n_x_serdes_1_to_7_mmcm_idelay_sdr.v:59]
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'top5x2_7to1_sdr_rx' (19#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/top5x2_7to1_sdr_rx.v:59] INFO: [Synth 8-6157] synthesizing module 'AdcSync' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/AdcSync.v:1]
- // Tcl Message: Parameter AdcDataWidth bound to: 14 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'AdcSync' (20#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/AdcSync.v:1] INFO: [Synth 8-6155] done synthesizing module 'AdcDataInterface' (21#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/AdcDataRx/AdcDataInterface.v:21] INFO: [Synth 8-6157] synthesizing module 'DspInterface' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/ExtDspInterface/DspInterface.v:21]
- // Tcl Message: Parameter AdcDataWidth bound to: 14 - type: integer Parameter ExtAdcDataWidth bound to: 16 - type: integer Parameter ODataWidth bound to: 16 - type: integer Parameter ResultWidth bound to: 32 - type: integer Parameter ChNum bound to: 4 - type: integer Parameter CmdRegWidth bound to: 32 - type: integer Parameter CmdDataRegWith bound to: 24 - type: integer Parameter HeaderWidth bound to: 7 - type: integer Parameter DataCntWidth bound to: 5 - type: integer Parameter CmdWidth bound to: 3 - type: integer
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'SlaveSpi' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/ExtDspInterface/SlaveSpi.v:23]
- // Tcl Message: Parameter CmdRegWidth bound to: 32 - type: integer Parameter DataCntWidth bound to: 5 - type: integer Parameter HeaderWidth bound to: 7 - type: integer Parameter CmdDataRegWith bound to: 24 - type: integer Parameter Adc0DirAccessAddr bound to: 7'b0010011 Parameter Adc1DirAccessAddr bound to: 7'b0010100
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'SlaveSpi' (22#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/ExtDspInterface/SlaveSpi.v:23] INFO: [Synth 8-6157] synthesizing module 'MeasDataFifoWrapper' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/MeasDataFifo/MeasDataFifoWrapper.v:3]
- // Tcl Message: Parameter DataWidth bound to: 32 - type: integer Parameter ChNum bound to: 4 - type: integer
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'MeasDataFifo' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/.Xil/Vivado-17916-DESKTOP-RMARCDV/realtime/MeasDataFifo_stub.v:6] INFO: [Synth 8-6155] done synthesizing module 'MeasDataFifo' (23#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/.Xil/Vivado-17916-DESKTOP-RMARCDV/realtime/MeasDataFifo_stub.v:6] INFO: [Synth 8-6157] synthesizing module 'FifoController' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/MeasDataFifo/FifoController.v:24]
- // Tcl Message: Parameter TxInPack bound to: 200 - type: integer Parameter WorkTimeCycles bound to: 404000 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'FifoController' (24#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/MeasDataFifo/FifoController.v:24] INFO: [Synth 8-6155] done synthesizing module 'MeasDataFifoWrapper' (25#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/MeasDataFifo/MeasDataFifoWrapper.v:3] INFO: [Synth 8-6157] synthesizing module 'DspPpiOut' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/ExtDspInterface/DspPpiOut.v:22]
- // Tcl Message: Parameter ODataWidth bound to: 16 - type: integer Parameter ResultWidth bound to: 32 - type: integer Parameter ChNum bound to: 4 - type: integer Parameter DataBusWidth bound to: 288 - type: integer Parameter ServisePattern bound to: 43981 - type: integer
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'ODDR2' [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:49817]
- // Tcl Message: Parameter DDR_ALIGNMENT bound to: NONE - type: string Parameter INIT bound to: 1'b0 Parameter SRTYPE bound to: SYNC - type: string
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'ODDR2' (26#1) [C:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:49817] INFO: [Synth 8-6155] done synthesizing module 'DspPpiOut' (27#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/ExtDspInterface/DspPpiOut.v:22] INFO: [Synth 8-6155] done synthesizing module 'DspInterface' (28#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/ExtDspInterface/DspInterface.v:21] INFO: [Synth 8-6157] synthesizing module 'NcoRstGen' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/NcoRstGen.v:21]
- // Tcl Message: Parameter IDLE bound to: 2'b00 Parameter RST bound to: 2'b01 Parameter DEL bound to: 2'b10
- // Tcl Message: INFO: [Synth 8-155] case statement is not full and has no default [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/NcoRstGen.v:79] INFO: [Synth 8-6155] done synthesizing module 'NcoRstGen' (29#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/NcoRstGen.v:21] INFO: [Synth 8-6157] synthesizing module 'InternalDsp' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/InternalDsp.v:22]
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'AdcCalibration' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/AdcCalibration.v:24]
- // Tcl Message: Parameter AccNum bound to: 2097152 - type: integer Parameter AdcDataWidth bound to: 20 - type: integer Parameter ShiftValue bound to: 21 - type: integer Parameter AccWidth bound to: 41 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'AdcCalibration' (30#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/AdcCalibration.v:24] INFO: [Synth 8-6157] synthesizing module 'DspPipeline' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/DspPipeline.v:3]
- // Tcl Message: Parameter AdcDataWidth bound to: 14 - type: integer Parameter AccWidth bound to: 80 - type: integer Parameter WindWidth bound to: 18 - type: integer Parameter AdcCorrData bound to: 20 - type: integer Parameter NcoWidth bound to: 18 - type: integer Parameter ResultWidth bound to: 32 - type: integer Parameter WindNormCoefWidth bound to: 32 - type: integer Parameter WindCorrCoefWidth bound to: 32 - type: integer Parameter IntermediateWidth bound to: 18 - type: integer Parameter FracWidth bound to: 51 - type: integer Parameter NormResultWidth bound to: 112 - type: integer Parameter AdcWindWidth bound to: 37 - type: integer
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'SimpleMult' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/SimpleMult.v:21]
- // Tcl Message: Parameter FactorAWidth bound to: 20 - type: integer Parameter FactorBWidth bound to: 18 - type: integer Parameter OutputWidth bound to: 37 - type: integer Parameter ResultWidth bound to: 38 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'SimpleMult' (31#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/SimpleMult.v:21] INFO: [Synth 8-6157] synthesizing module 'SimpleMult__parameterized0' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/SimpleMult.v:21]
- // Tcl Message: Parameter FactorAWidth bound to: 37 - type: integer Parameter FactorBWidth bound to: 18 - type: integer Parameter OutputWidth bound to: 55 - type: integer Parameter ResultWidth bound to: 55 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'SimpleMult__parameterized0' (31#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/SimpleMult.v:21] INFO: [Synth 8-6157] synthesizing module 'SumAcc' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/SumAcc.v:1]
- // Tcl Message: Parameter IDataWidth bound to: 54 - type: integer Parameter ODataWidth bound to: 80 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'SumAcc' (32#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/SumAcc.v:1] INFO: [Synth 8-6157] synthesizing module 'MyIntToFp' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/MyIntToFp.v:21]
- // Tcl Message: Parameter InWidth bound to: 80 - type: integer Parameter ExpWidth bound to: 8 - type: integer Parameter ManWidth bound to: 23 - type: integer Parameter FracWidth bound to: 51 - type: integer Parameter OutWidth bound to: 32 - type: integer Parameter ExpConst bound to: 127 - type: integer Parameter Stages bound to: 7 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'MyIntToFp' (33#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/math/MyIntToFp.v:21] INFO: [Synth 8-6157] synthesizing module 'FpCustomMultiplier' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/Math/FpCustomMultiplier.v:1]
- // Tcl Message: Parameter ManWidth bound to: 23 - type: integer Parameter ExpWidth bound to: 8 - type: integer Parameter InOutWidth bound to: 32 - type: integer Parameter ExtManWidth bound to: 25 - type: integer Parameter MultResultWidth bound to: 48 - type: integer Parameter ExpConst bound to: 127 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'FpCustomMultiplier' (34#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/Math/FpCustomMultiplier.v:1] INFO: [Synth 8-6155] done synthesizing module 'DspPipeline' (35#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/DspPipeline.v:3] INFO: [Synth 8-6157] synthesizing module 'MeasCtrlModule' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/MeasCtrlModule.v:21]
- // Tcl Message: Parameter WindPNumWidth bound to: 32 - type: integer Parameter TukeyWinAlpha bound to: 4 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'MeasCtrlModule' (36#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/MeasCtrlModule.v:21] INFO: [Synth 8-6157] synthesizing module 'WinParameters' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/WinParameters.v:21]
- // Tcl Message: Parameter WindPhIncWidth bound to: 32 - type: integer Parameter WindNormCoefWidth bound to: 32 - type: integer Parameter WindPNumWidth bound to: 32 - type: integer Parameter BandCmdWidth bound to: 8 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'WinParameters' (37#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/WinParameters.v:21] INFO: [Synth 8-6157] synthesizing module 'Win_calc' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/Win_calc.v:22]
- // Tcl Message: Parameter A3_1 bound to: 18'sb010101010110000100 Parameter A1 bound to: 18'sb010010010000000000 Parameter A2 bound to: 18'sb000000001011000000 Parameter A3 bound to: 18'sb101010101001111100 Parameter A4 bound to: 18'sb000001001001101100 Parameter A5 bound to: 18'sb000001110001011100 Parameter CalcWidth bound to: 10 - type: integer Parameter CalcWidthR bound to: 18 - type: integer Parameter b2Width bound to: 20 - type: integer Parameter b3Width bound to: 30 - type: integer Parameter b4Width bound to: 40 - type: integer Parameter b5Width bound to: 50 - type: integer Parameter testArg bound to: 306783378 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'Win_calc' (38#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/Win_calc.v:22]
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'CordicNco' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/CordicNco.v:6]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter PhIncWidth bound to: 32 - type: integer Parameter IterNum bound to: 15 - type: integer Parameter EnSinN bound to: 0 - type: integer Parameter WinTypeW bound to: 0 - type: integer Parameter angle270 bound to: 32'b11000000000000000000000000000000 Parameter angle180 bound to: 32'b10000000000000000000000000000000 Parameter angle90 bound to: 32'b01000000000000000000000000000000 Parameter initValue bound to: 18'b010011001010100010
- // Tcl Message: INFO: [Synth 8-6157] synthesizing module 'cordic_rotation' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 1 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized0' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 2 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized0' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized1' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 3 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized1' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized2' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 4 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized2' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized3' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 5 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized3' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized4' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 6 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized4' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized5' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 7 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized5' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized6' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 8 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized6' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized7' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 9 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized7' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized8' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 10 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized8' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized9' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 11 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized9' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized10' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 12 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized10' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized11' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 13 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized11' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized12' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 14 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'cordic_rotation__parameterized12' (39#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21] INFO: [Synth 8-6157] synthesizing module 'cordic_rotation__parameterized13' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/CordicRotation.v:21]
- // Tcl Message: Parameter ODatWidth bound to: 18 - type: integer Parameter Shift bound to: 15 - type: integer
- // Tcl Message: Parameter DataPrngWidth bound to: 8 - type: integer Parameter InDataWidth bound to: 20 - type: integer Parameter OutDataWidth bound to: 20 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'ComplPrng' (42#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/InternalDsp/ComplPrng.v:18] INFO: [Synth 8-6155] done synthesizing module 'InternalDsp' (43#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/internalDsp/InternalDsp.v:22] INFO: [Synth 8-6157] synthesizing module 'RegMap' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/RegMap/RegMap.v:22]
- // Tcl Message: INFO: [Synth 8-155] case statement is not full and has no default [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/RegMap/RegMap.v:488] INFO: [Synth 8-155] case statement is not full and has no default [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/RegMap/RegMap.v:875] INFO: [Synth 8-6155] done synthesizing module 'RegMap' (44#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/RegMap/RegMap.v:22] INFO: [Synth 8-6157] synthesizing module 'InitRst' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/adcInit/InitRst.v:1]
- // Tcl Message: Parameter DELAY_VALUE bound to: 20 - type: integer Parameter DELAY_CNT_W bound to: 5 - type: integer Parameter SM_RST_S bound to: 1'b0 Parameter SM_DONE_S bound to: 1'b1
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'InitRst' (45#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/adcInit/InitRst.v:1] INFO: [Synth 8-6157] synthesizing module 'StartAfterGainSel' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/StartAfterGainSel.v:21]
- // Tcl Message: Parameter ChNum bound to: 4 - type: integer
- // Tcl Message: INFO: [Synth 8-226] default block is never used [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/StartAfterGainSel.v:47] INFO: [Synth 8-6155] done synthesizing module 'StartAfterGainSel' (46#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/StartAfterGainSel.v:21] INFO: [Synth 8-6157] synthesizing module 'DitherGenv2' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/DitherGen/DitherGenv2.v:21]
- // Tcl Message: Parameter CmdDataRegWith bound to: 24 - type: integer Parameter FrAmpWordWidth bound to: 8 - type: integer Parameter RefFreqDiv bound to: 5 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'DitherGenv2' (47#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/DitherGen/DitherGenv2.v:21] INFO: [Synth 8-6157] synthesizing module 'MeasStartEventGen' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/MeasStartEventGen.v:28]
- // Tcl Message: Parameter CmdRegWidth bound to: 32 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'MeasStartEventGen' (48#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/MeasStartEventGen.v:28] INFO: [Synth 8-6157] synthesizing module 'TrigInt2Mux' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/TrigInt2Mux.v:21]
- // Tcl Message: Parameter PGenNum bound to: 7 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'TrigInt2Mux' (49#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/TrigInt2Mux.v:21] INFO: [Synth 8-6157] synthesizing module 'PGenRstGenerator' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/PGenRstGenerator.v:21]
- // Tcl Message: Parameter PgenNum bound to: 7 - type: integer Parameter IDLE bound to: 2'b00 Parameter RST bound to: 2'b01 Parameter DEL bound to: 2'b10
- // Tcl Message: INFO: [Synth 8-155] case statement is not full and has no default [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/PGenRstGenerator.v:68] INFO: [Synth 8-6155] done synthesizing module 'PGenRstGenerator' (50#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/PGenRstGenerator.v:21] INFO: [Synth 8-6157] synthesizing module 'SampleStrobeGenRstDemux' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/SampleStrobeGenRstDemux.v:21]
- // Tcl Message: Parameter CmdRegWidth bound to: 32 - type: integer Parameter PGenNum bound to: 7 - type: integer Parameter TrigPortsNum bound to: 6 - type: integer
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'SampleStrobeGenRstDemux' (51#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/SampleStrobeGenRstDemux.v:21] INFO: [Synth 8-6157] synthesizing module 'ActivePortSelector' [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/ActivePortSelector.v:22]
- // Tcl Message: Parameter PortsNum bound to: 4 - type: integer Parameter LutNum bound to: 16 - type: integer Parameter PortsNone bound to: 4'b0000 Parameter Ports_1 bound to: 4'b0001 Parameter Ports_2 bound to: 4'b0010 Parameter Ports_21 bound to: 4'b0011 Parameter Ports_3 bound to: 4'b0100 Parameter Ports_31 bound to: 4'b0101 Parameter Ports_32 bound to: 4'b0110 Parameter Ports_321 bound to: 4'b0111 Parameter Ports_4 bound to: 4'b1000 Parameter Ports_41 bound to: 4'b1001 Parameter Ports_42 bound to: 4'b1010 Parameter Ports_421 bound to: 4'b1011 Parameter Ports_43 bound to: 4'b1100 Parameter Ports_431 bound to: 4'b1101 Parameter Ports_432 bound to: 4'b1110 Parameter Ports_4321 bound to: 4'b1111
- // Tcl Message: INFO: [Synth 8-6155] done synthesizing module 'ActivePortSelector' (52#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/PulseMeas/ActivePortSelector.v:22] INFO: [Synth 8-6155] done synthesizing module 'S5243Top' (53#1) [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/new/S5243Top.v:32]
- // Tcl Message: ---------------------------------------------------------------------------------
- // Tcl Message: Finished RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 2306.062 ; gain = 95.688
- // Tcl Message: ---------------------------------------------------------------------------------
- // Tcl Message: --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- ---------------------------------------------------------------------------------
- // Tcl Message: Finished Handling Custom Attributes : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2326.965 ; gain = 116.590
- // Tcl Message: --------------------------------------------------------------------------------- ---------------------------------------------------------------------------------
- // Tcl Message: Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2326.965 ; gain = 116.590
- // Tcl Message: ---------------------------------------------------------------------------------
- // Tcl Message: INFO: [Project 1-454] Reading design checkpoint 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.dcp' for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst' INFO: [Project 1-454] Reading design checkpoint 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.dcp' for cell 'InternalDsp/sinCosMemFirstTone'
- // Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.645 . Memory (MB): peak = 2345.941 ; gain = 0.000
- // Tcl Message: INFO: [Netlist 29-17] Analyzing 98 Unisim elements for replacement
- // Tcl Message: INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2020.2 INFO: [Project 1-570] Preparing netlist for logic optimization
- // Tcl Message: Processing XDC Constraints Initializing timing engine Parsing XDC File [c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc] for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst/U0' Finished Parsing XDC File [c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xdc] for cell 'ExternalDspInterface/MeasDataFifoInst/MeasDataFifoInst/U0' Parsing XDC File [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]
- // Tcl Message: INFO: [Timing 38-2] Deriving generated clocks [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:271]
- // Tcl Message: INFO: [Common 17-14] Message 'Vivado 12-507' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc:552]
- // Tcl Message: Finished Parsing XDC File [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]
- // Tcl Message: INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/constrs_1/new/S5243Top.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/S5243Top_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/S5243Top_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
- // Tcl Message: Completed Processing XDC Constraints
- // Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 2458.656 ; gain = 0.000
- // Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: A total of 11 instances were transformed. IBUFDS_DIFF_OUT => IBUFDS_DIFF_OUT (IBUFDS(x2)): 8 instances IBUFGDS_DIFF_OUT => IBUFDS_DIFF_OUT (IBUFDS(x2)): 2 instances ODDR2 => ODDR: 1 instance
- // Tcl Message: RTL Elaboration Complete: : Time (s): cpu = 00:00:24 ; elapsed = 00:00:19 . Memory (MB): peak = 2522.199 ; gain = 311.824
- // Tcl Message: 156 Infos, 110 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully
- // Tcl Message: synth_design: Time (s): cpu = 00:00:24 ; elapsed = 00:00:19 . Memory (MB): peak = 2522.199 ; gain = 311.824
- // Run Command: PAResourceCommand.PACommandNames_SCHEMATIC
- // Schematic: addNotify
- // Elapsed time: 20 seconds
- dismissDialog("Open Elaborated Design"); // bz
- // HMemoryUtils.trashcanNow. Engine heap size: 2,302 MB. GUI used memory: 126 MB. Current time: 8/30/23, 2:29:44 PM ICT
- // [Engine Memory]: 2,329 MB (+12601kb) [02:05:08]
- // HMemoryUtils.trashcanNow. Engine heap size: 2,331 MB. GUI used memory: 126 MB. Current time: 8/30/23, 2:30:14 PM ICT
- // Elapsed time: 187 seconds
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 24, false); // u
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // bz (cr): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // Tcl Message: reset_run synth_1
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- dismissDialog("Resetting Runs"); // bz
- // TclEventType: FILESET_TARGET_UCF_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: FILESET_TARGET_UCF_CHANGE
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 4
- // TclEventType: RUN_LAUNCH
- // Tcl Message: INFO: [Vivado 12-4149] The synthesis checkpoint for IP 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci' is already up-to-date
- // TclEventType: RUN_LAUNCH
- // bz (cr): Generate Bitstream : addNotify
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Wed Aug 30 14:30:48 2023] Launched synth_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/synth_1/runme.log [Wed Aug 30 14:30:48 2023] Launched impl_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/runme.log
- dismissDialog("Generate Bitstream"); // bz
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // Elapsed time: 821 seconds
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 25, true); // u - Node
- // Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER
- // Tcl Command: 'rdi::info_commands get_hw_probes'
- // Tcl Command: 'load_features labtools'
- // TclEventType: LOAD_FEATURE
- // bz (cr): Open Hardware Manager : addNotify
- // TclEventType: HW_SESSION_OPEN
- // WARNING: HEventQueue.dispatchEvent() is taking 1478 ms.
- // Tcl Message: open_hw_manager
- closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
- dismissDialog("Open Hardware Manager"); // bz
- applyEnter(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, (String) null); // u
- // PAResourceOtoP.PAViews_CODE: Code: close view
- // Elapsed time: 30 seconds
- closeView(PAResourceOtoP.PAViews_CODE, "Code"); // F
- selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h
- selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak
- // Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET
- // bz (cr): Auto Connect : addNotify
- // Tcl Message: connect_hw_server -allow_non_jtag
- // Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
- // Tcl Message: INFO: [Labtools 27-2222] Launching hw_server...
- // TclEventType: HW_SERVER_UPDATE
- // Tcl Message: INFO: [Labtools 27-2221] Launch Output: ****** Xilinx hw_server v2020.2 **** Build date : Nov 18 2020 at 10:01:48 ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042
- // Tcl Message: INFO: [Labtools 27-3417] Launching cs_server...
- // TclEventType: HW_SERVER_UPDATE
- // Tcl Message: INFO: [Labtools 27-2221] Launch Output: ******** Xilinx cs_server v2020.2 ****** Build date : Nov 04 2020-04:02:56 **** Build number : 2020.2.1604437376 ** Copyright 2017-2020 Xilinx, Inc. All Rights Reserved.
- // Tcl Message: connect_hw_server: Time (s): cpu = 00:00:01 ; elapsed = 00:00:19 . Memory (MB): peak = 2610.000 ; gain = 12.648
- // TclEventType: HW_TARGET_CHANGE
- // Tcl Message: open_hw_target
- // Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/00001876fac901
- // Tcl Message: ERROR: [Labtools 27-2269] No devices detected on target localhost:3121/xilinx_tcf/Xilinx/00001876fac901. Check cable connectivity and that the target board is powered up then use the disconnect_hw_server and connect_hw_server to re-register this hardware target.
- // Tcl Message: ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors.
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors.
- // a (cr): Critical Messages: addNotify
- // Elapsed time: 19 seconds
- dismissDialog("Auto Connect"); // bz
- // WARNING: HTimer (ActiveMsgMonitor Process Messages Timer) is taking 223ms to process. Increasing delay to 2000 ms.
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f
- dismissDialog("Critical Messages"); // a
- // Elapsed time: 53 seconds
- selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k
- closeTask("Program and Debug", "Hardware Manager", "DesignTask.PROGRAM_DEBUG");
- // bz (cr): Close Hardware Manager : addNotify
- // TclEventType: HW_OBJECT_DELETE
- // TclEventType: HW_SERVER_CLOSE
- // TclEventType: HW_TARGET_CLOSE
- // TclEventType: HW_TARGET_UPDATE
- // TclEventType: DEBUG_PROBE_DELETE
- // TclEventType: HW_SESSION_CLOSE
- // WARNING: HEventQueue.dispatchEvent() is taking 1281 ms.
- // Tcl Message: close_hw_manager
- dismissDialog("Close Hardware Manager"); // bz
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 25, true); // u - Node
- // Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER
- // Tcl Command: 'rdi::info_commands get_hw_probes'
- // bz (cr): Open Hardware Manager : addNotify
- // TclEventType: HW_SESSION_OPEN
- // WARNING: HEventQueue.dispatchEvent() is taking 1121 ms.
- // Tcl Message: open_hw_manager
- closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
- dismissDialog("Open Hardware Manager"); // bz
- // Elapsed time: 147 seconds
- selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h
- selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak
- // Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET
- // Tcl Message: connect_hw_server -allow_non_jtag
- // Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
- // bz (cr): Auto Connect : addNotify
- // Tcl Message: INFO: [Labtools 27-2222] Launching hw_server...
- // TclEventType: HW_SERVER_UPDATE
- // Tcl Message: INFO: [Labtools 27-2221] Launch Output: ****** Xilinx hw_server v2020.2 **** Build date : Nov 18 2020 at 10:01:48 ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042
- // Tcl Message: INFO: [Labtools 27-3417] Launching cs_server...
- // TclEventType: HW_SERVER_UPDATE
- // Tcl Message: INFO: [Labtools 27-2221] Launch Output: ******** Xilinx cs_server v2020.2 ****** Build date : Nov 04 2020-04:02:56 **** Build number : 2020.2.1604437376 ** Copyright 2017-2020 Xilinx, Inc. All Rights Reserved.
- // Tcl Message: connect_hw_server: Time (s): cpu = 00:00:01 ; elapsed = 00:00:09 . Memory (MB): peak = 2655.289 ; gain = 0.000
- // TclEventType: HW_TARGET_CHANGE
- // TclEventType: HW_TARGET_CLOSE
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_SYSMON_ADD
- // TclEventType: HW_TARGET_UPDATE
- // WARNING: HEventQueue.dispatchEvent() is taking 5664 ms.
- // Tcl Message: open_hw_target
- // Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/00001876fac901
- // HMemoryUtils.trashcanNow. Engine heap size: 3,466 MB. GUI used memory: 133 MB. Current time: 8/30/23, 2:49:18 PM ICT
- // Tcl Message: open_hw_target: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 4190.195 ; gain = 1534.906
- // TclEventType: HW_DEVICE_CHANGE
- // CommandFailedException: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
- // Tcl Message: set_property PROGRAM.FILE {C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.bit} [get_hw_devices xc7s25_0]
- // [Engine Memory]: 3,468 MB (+1072124kb) [02:24:12]
- // Elapsed time: 15 seconds
- dismissDialog("Auto Connect"); // bz
- selectButton(PAResourceOtoP.ProgramDebugTab_REFRESH_DEVICE, "Refresh device"); // h
- selectMenuItem((HResource) null, "xc7s25_0"); // ak
- // Run Command: PAResourceCommand.PACommandNames_REFRESH_DEVICE
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_SYSMON_CHANGE
- // TclEventType: HW_DEVICE_UPDATE
- // TclEventType: HW_DEVICE_CHANGE
- // Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7s25_0] 0]
- // Tcl Message: INFO: [Labtools 27-1434] Device xc7s25 (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
- // Tcl Message: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
- // TclEventType: HW_TARGET_NEEDS_CLOSE
- // TclEventType: HW_TARGET_CLOSE
- // TclEventType: HW_SYSMON_DELETE
- // TclEventType: HW_TARGET_UPDATE
- // TclEventType: DEBUG_PROBE_DELETE
- // Tcl Message: ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Xilinx/00001876fac901
- // TclEventType: HW_TARGET_NEEDS_CLOSE
- // TclEventType: HW_TARGET_CHANGE
- // TclEventType: HW_TARGET_CLOSE
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_SYSMON_ADD
- // TclEventType: HW_TARGET_UPDATE
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_SYSMON_CHANGE
- // TclEventType: HW_DEVICE_UPDATE
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_TARGET_NEEDS_CLOSE
- // TclEventType: HW_TARGET_CLOSE
- // TclEventType: HW_SYSMON_DELETE
- // TclEventType: HW_TARGET_UPDATE
- // TclEventType: DEBUG_PROBE_DELETE
- // Tcl Message: ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Xilinx/00001876fac901
- // Elapsed time: 204 seconds
- selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h
- selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak
- // Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET
- // TclEventType: HW_OBJECT_DELETE
- // TclEventType: HW_SERVER_CLOSE
- // Tcl Message: disconnect_hw_server localhost:3121
- // TclEventType: HW_SERVER_UPDATE
- // bz (cr): Auto Connect : addNotify
- // TclEventType: HW_SERVER_UPDATE
- // Tcl Message: connect_hw_server -allow_non_jtag
- // Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042 INFO: [Labtools 27-3414] Connected to existing cs_server.
- // TclEventType: HW_TARGET_CHANGE
- // Tcl Message: open_hw_target
- // Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/00001876fac901
- // Tcl Message: ERROR: [Labtools 27-2269] No devices detected on target localhost:3121/xilinx_tcf/Xilinx/00001876fac901. Check cable connectivity and that the target board is powered up then use the disconnect_hw_server and connect_hw_server to re-register this hardware target.
- // Tcl Message: ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors.
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors.
- // a (cr): Critical Messages: addNotify
- dismissDialog("Auto Connect"); // bz
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f
- dismissDialog("Critical Messages"); // a
- // TclEventType: HW_TARGET_NEEDS_CLOSE
- // TclEventType: HW_TARGET_CLOSE
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_SYSMON_ADD
- // TclEventType: HW_TARGET_UPDATE
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_SYSMON_CHANGE
- // TclEventType: HW_ILA_CHANGE
- // TclEventType: HW_DEVICE_UPDATE
- // WARNING: HEventQueue.dispatchEvent() is taking 2177 ms.
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_ILA_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 3,488 MB. GUI used memory: 143 MB. Current time: 8/30/23, 2:52:59 PM ICT
- // Elapsed time: 11 seconds
- selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k
- closeTask("Program and Debug", "Hardware Manager", "DesignTask.PROGRAM_DEBUG");
- // bz (cr): Close Hardware Manager : addNotify
- // TclEventType: HW_OBJECT_DELETE
- // TclEventType: HW_SERVER_CLOSE
- // TclEventType: HW_SYSMON_DELETE
- closeView(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // i
- // TclEventType: HW_TARGET_CLOSE
- // TclEventType: HW_TARGET_UPDATE
- // TclEventType: DEBUG_PROBE_DELETE
- // TclEventType: HW_SESSION_CLOSE
- // WARNING: HEventQueue.dispatchEvent() is taking 1410 ms.
- // Tcl Message: close_hw_manager
- dismissDialog("Close Hardware Manager"); // bz
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 25, true); // u - Node
- // Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER
- // Tcl Command: 'rdi::info_commands get_hw_probes'
- // bz (cr): Open Hardware Manager : addNotify
- // TclEventType: HW_SESSION_OPEN
- // WARNING: HEventQueue.dispatchEvent() is taking 1480 ms.
- // Tcl Message: open_hw_manager
- closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
- dismissDialog("Open Hardware Manager"); // bz
- selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h
- selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak
- // Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET
- // TclEventType: HW_SERVER_UPDATE
- // Tcl Message: connect_hw_server -allow_non_jtag
- // Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042 INFO: [Labtools 27-3414] Connected to existing cs_server.
- // TclEventType: HW_TARGET_CHANGE
- // TclEventType: HW_TARGET_CLOSE
- // bz (cr): Auto Connect : addNotify
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_SYSMON_ADD
- // TclEventType: HW_TARGET_UPDATE
- // Tcl Message: open_hw_target
- // Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/00001876fac901
- // TclEventType: HW_DEVICE_CHANGE
- // CommandFailedException: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
- // Tcl Message: set_property PROGRAM.FILE {C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.bit} [get_hw_devices xc7s25_0]
- dismissDialog("Auto Connect"); // bz
- selectButton(PAResourceOtoP.ProgramDebugTab_REFRESH_DEVICE, "Refresh device"); // h
- selectMenuItem((HResource) null, "xc7s25_0"); // ak
- // Run Command: PAResourceCommand.PACommandNames_REFRESH_DEVICE
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_SYSMON_CHANGE
- // TclEventType: HW_ILA_CHANGE
- // TclEventType: HW_DEVICE_UPDATE
- // WARNING: HEventQueue.dispatchEvent() is taking 2162 ms.
- // TclEventType: HW_DEVICE_CHANGE
- // Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7s25_0] 0]
- // Tcl Message: INFO: [Labtools 27-2302] Device xc7s25 (JTAG device index = 0) is programmed with a design that has 1 ILA core(s).
- // TclEventType: HW_DEVICE_CHANGE
- // bz (cr): Refresh Device : addNotify
- // Tcl Message: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
- // [GUI Memory]: 218 MB (+11136kb) [02:28:12]
- dismissDialog("Refresh Device"); // bz
- // Elapsed time: 23 seconds
- selectButton(PAResourceItoN.IlaProbeTablePanel_SPECIFY_PROBES_FILE_AND_REFRESH, "Specify the probes file and refresh the device"); // d
- // bK (cr): Refresh Device: addNotify
- selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
- dismissDialog("Refresh Device"); // bK
- // Elapsed time: 29 seconds
- selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k
- closeTask("Program and Debug", "Hardware Manager", "DesignTask.PROGRAM_DEBUG");
- // bz (cr): Close Hardware Manager : addNotify
- // TclEventType: HW_OBJECT_DELETE
- // TclEventType: HW_SERVER_CLOSE
- // TclEventType: HW_SYSMON_DELETE
- closeView(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // i
- // TclEventType: HW_TARGET_CLOSE
- // TclEventType: HW_TARGET_UPDATE
- // TclEventType: DEBUG_PROBE_DELETE
- // TclEventType: HW_SESSION_CLOSE
- // WARNING: HEventQueue.dispatchEvent() is taking 1387 ms.
- // Tcl Message: close_hw_manager
- dismissDialog("Close Hardware Manager"); // bz
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 25, true); // u - Node
- // Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER
- // Tcl Command: 'rdi::info_commands get_hw_probes'
- // bz (cr): Open Hardware Manager : addNotify
- // TclEventType: HW_SESSION_OPEN
- // WARNING: HEventQueue.dispatchEvent() is taking 1175 ms.
- // Tcl Message: open_hw_manager
- closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
- dismissDialog("Open Hardware Manager"); // bz
- selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h
- selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak
- // Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET
- // TclEventType: HW_SERVER_UPDATE
- // Tcl Message: connect_hw_server -allow_non_jtag
- // Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042 INFO: [Labtools 27-3414] Connected to existing cs_server.
- // TclEventType: HW_TARGET_CHANGE
- // TclEventType: HW_TARGET_CLOSE
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_SYSMON_ADD
- // TclEventType: HW_TARGET_UPDATE
- // Tcl Message: open_hw_target
- // Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/00001876fac901
- // TclEventType: HW_DEVICE_CHANGE
- // bz (cr): Auto Connect : addNotify
- // CommandFailedException: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
- // Tcl Message: set_property PROGRAM.FILE {C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.bit} [get_hw_devices xc7s25_0]
- dismissDialog("Auto Connect"); // bz
- selectButton(PAResourceOtoP.ProgramDebugTab_REFRESH_DEVICE, "Refresh device"); // h
- selectMenuItem((HResource) null, "xc7s25_0"); // ak
- // Run Command: PAResourceCommand.PACommandNames_REFRESH_DEVICE
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_SYSMON_CHANGE
- // TclEventType: HW_ILA_CHANGE
- // TclEventType: HW_DEVICE_UPDATE
- // WARNING: HEventQueue.dispatchEvent() is taking 2112 ms.
- // TclEventType: HW_DEVICE_CHANGE
- // Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7s25_0] 0]
- // Tcl Message: INFO: [Labtools 27-2302] Device xc7s25 (JTAG device index = 0) is programmed with a design that has 1 ILA core(s).
- // TclEventType: HW_DEVICE_CHANGE
- // bz (cr): Refresh Device : addNotify
- // Tcl Message: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
- dismissDialog("Refresh Device"); // bz
- // CommandFailedException: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/S5443Top.ltx
- // Elapsed time: 71 seconds
- selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "Properties", 1); // i
- maximizeFrame(RDIResource.RDIViews_PROPERTIES, "Properties"); // az
- // HMemoryUtils.trashcanNow. Engine heap size: 3,571 MB. GUI used memory: 146 MB. Current time: 8/30/23, 2:55:50 PM ICT
- // Elapsed time: 16 seconds
- unMaximizeFrame(RDIResource.RDIViews_PROPERTIES, "Properties"); // az
- selectButton((HResource) null, "Properties_settings"); // x: TRUE
- selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k
- closeTask("Program and Debug", "Hardware Manager", "DesignTask.PROGRAM_DEBUG");
- // bz (cr): Close Hardware Manager : addNotify
- // TclEventType: HW_OBJECT_DELETE
- // TclEventType: HW_SERVER_CLOSE
- // TclEventType: HW_SYSMON_DELETE
- closeView(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // i
- // TclEventType: HW_TARGET_CLOSE
- // TclEventType: HW_TARGET_UPDATE
- // Tcl Message: close_hw_manager
- // TclEventType: HW_TARGET_UPDATE
- // TclEventType: DEBUG_PROBE_DELETE
- // TclEventType: HW_SESSION_CLOSE
- // WARNING: HEventQueue.dispatchEvent() is taking 1213 ms.
- dismissDialog("Close Hardware Manager"); // bz
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation]", 20, true, false, false, false, true, false); // u - Popup Trigger - Node
- selectMenuItem(PAResourceCommand.PACommandNames_IMPL_SETTINGS, "Implementation Settings..."); // ak
- // Run Command: PAResourceCommand.PACommandNames_IMPL_SETTINGS
- // d (cr): Settings: addNotify
- // Elapsed time: 10 seconds
- dismissDialog("Settings"); // d
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation]", 20, true, false, false, false, true, false); // u - Popup Trigger - Node
- // [GUI Memory]: 250 MB (+23072kb) [02:31:21]
- selectMenu(PAResourceEtoH.FlowNavigatorTreePanel_OPEN, "Open Implemented Design"); // ah
- selectMenu(PAResourceEtoH.FlowNavigatorTreePanel_OPEN, "Open Implemented Design"); // ah
- selectMenuItem(PAResourceEtoH.FlowNavigatorTreePanel_RESET_IMPLEMENTATION_RUN, "Reset Implementation Run"); // ak
- // r (cr): Reset Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "Reset"); // a
- // r (cr): Reset Runs: addNotify
- // bz (r): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // Tcl Message: reset_run impl_1
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // PAPropertyPanels.initPanels (impl_1) elapsed time: 0.3s
- dismissDialog("Resetting Runs"); // bz
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 24, false); // u
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // bz (cr): Resetting Runs : addNotify
- dismissDialog("Resetting Runs"); // bz
- // TclEventType: FILESET_TARGET_UCF_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // bz (cr): Generate Bitstream : addNotify
- // TclEventType: RUN_STATUS_CHANGE
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 4
- // Tcl Message: INFO: [Vivado 12-4149] The synthesis checkpoint for IP 'c:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.srcs/sources_1/ip/MeasDataFifo/MeasDataFifo.xci' is already up-to-date
- // Tcl Message: [Wed Aug 30 14:56:42 2023] Launched impl_1... Run output will be captured here: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/runme.log
- dismissDialog("Generate Bitstream"); // bz
- // HMemoryUtils.trashcanNow. Engine heap size: 3,591 MB. GUI used memory: 141 MB. Current time: 8/30/23, 2:56:45 PM ICT
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // Elapsed time: 402 seconds
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 25, true); // u - Node
- // Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER
- // Tcl Command: 'rdi::info_commands get_hw_probes'
- // bz (cr): Open Hardware Manager : addNotify
- // TclEventType: HW_SESSION_OPEN
- // PAPropertyPanels.initPanels (impl_1) elapsed time: 0.2s
- // WARNING: HEventQueue.dispatchEvent() is taking 1476 ms.
- // Tcl Message: open_hw_manager
- closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
- dismissDialog("Open Hardware Manager"); // bz
- // Elapsed time: 12 seconds
- selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h
- selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak
- // Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET
- // bz (cr): Auto Connect : addNotify
- // Tcl Message: connect_hw_server -allow_non_jtag
- // Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
- // Tcl Message: INFO: [Labtools 27-2222] Launching hw_server...
- // TclEventType: HW_SERVER_UPDATE
- // Tcl Message: INFO: [Labtools 27-2221] Launch Output: ****** Xilinx hw_server v2020.2 **** Build date : Nov 18 2020 at 10:01:48 ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042
- // Tcl Message: INFO: [Labtools 27-3417] Launching cs_server...
- // TclEventType: HW_SERVER_UPDATE
- // Tcl Message: INFO: [Labtools 27-2221] Launch Output: ******** Xilinx cs_server v2020.2 ****** Build date : Nov 04 2020-04:02:56 **** Build number : 2020.2.1604437376 ** Copyright 2017-2020 Xilinx, Inc. All Rights Reserved.
- // Tcl Message: connect_hw_server: Time (s): cpu = 00:00:01 ; elapsed = 00:00:09 . Memory (MB): peak = 4321.742 ; gain = 0.000
- // TclEventType: HW_TARGET_CHANGE
- // TclEventType: HW_TARGET_CLOSE
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_SYSMON_ADD
- // TclEventType: HW_TARGET_UPDATE
- // Tcl Message: open_hw_target
- // Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/00001876fac901
- // TclEventType: HW_DEVICE_CHANGE
- // CommandFailedException: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
- // Tcl Message: set_property PROGRAM.FILE {C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.bit} [get_hw_devices xc7s25_0]
- dismissDialog("Auto Connect"); // bz
- // Elapsed time: 11 seconds
- selectButton(PAResourceOtoP.ProgramDebugTab_REFRESH_DEVICE, "Refresh device"); // h
- selectMenuItem((HResource) null, "xc7s25_0"); // ak
- // Run Command: PAResourceCommand.PACommandNames_REFRESH_DEVICE
- // TclEventType: HW_DEVICE_CHANGE
- // TclEventType: HW_SYSMON_CHANGE
- // TclEventType: HW_ILA_CHANGE
- // TclEventType: HW_DEVICE_UPDATE
- // Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7s25_0] 0]
- // TclEventType: HW_DEVICE_UPDATE
- // WARNING: HEventQueue.dispatchEvent() is taking 2000 ms.
- // TclEventType: HW_DEVICE_CHANGE
- // Tcl Message: INFO: [Labtools 27-2302] Device xc7s25 (JTAG device index = 0) is programmed with a design that has 1 ILA core(s).
- // TclEventType: HW_DEVICE_CHANGE
- // bz (cr): Refresh Device : addNotify
- // Tcl Message: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-48] File not found: C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_2/S5443Top.ltx
- dismissDialog("Refresh Device"); // bz
- // Elapsed time: 14 seconds
- selectButton(PAResourceItoN.IlaProbeTablePanel_SPECIFY_PROBES_FILE_AND_REFRESH, "Specify the probes file and refresh the device"); // d
- // bK (cr): Refresh Device: addNotify
- // Elapsed time: 22 seconds
- selectButton(PAResourceQtoS.RefreshDeviceDialog_SPECIFY_DEBUG_PROBES_FILE, (String) null); // t
- setFileChooser("C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.ltx");
- selectButton(PAResourceQtoS.RefreshDeviceDialog_REFRESH_DEVICE, "Refresh"); // a
- // TclEventType: HW_DEVICE_CHANGE
- // Run Command: PAResourceCommand.PACommandNames_REFRESH_DEVICE
- // Tcl Message: set_property PROBES.FILE {C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.ltx} [get_hw_devices xc7s25_0]
- // Tcl Message: set_property FULL_PROBES.FILE {C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.runs/impl_1/S5243Top.ltx} [get_hw_devices xc7s25_0]
- // TclEventType: HW_DEVICE_CHANGE
- dismissDialog("Refresh Device"); // bK
- // TclEventType: HW_SYSMON_CHANGE
- // TclEventType: HW_DEVICE_UPDATE
- // TclEventType: HW_DEVICE_CHANGE
- // bz (cr): Refresh Device : addNotify
- // TclEventType: HW_DEVICE_PROBES_CHANGE
- // TclEventType: DEBUG_PROBE_SET_CHANGE
- // TclEventType: DEBUG_PROBE_CHANGE
- // TclEventType: HW_DEVICE_PROBES_CHANGE
- // TclEventType: HW_ILA_CHANGE
- // Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7s25_0] 0]
- // Tcl Message: INFO: [Labtools 27-2302] Device xc7s25 (JTAG device index = 0) is programmed with a design that has 1 ILA core(s).
- // TclEventType: HW_ILA_CHANGE
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: WAVEFORM_OPEN_WCFG
- // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // Waveform: addNotify
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // Waveform: addNotify
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // Tcl Message: display_hw_ila_data [ get_hw_ila_data hw_ila_data_1 -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
- dismissDialog("Refresh Device"); // bz
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 154 MB. Current time: 8/30/23, 3:04:52 PM ICT
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- selectButton(PAResourceItoN.IlaProbeTablePanel_ADD_PROBE, "IlaProbeTablePanel_new"); // E
- selectTree(PAResourceOtoP.ProbesView_PROBES_TREE, "[root, startMeasSync_reg_n_0]", 0, false); // N
- selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "startMeasSync_reg_n_0 ; == ; [B] ; X ; probe6[0] ; ", 0, "X", 3); // A
- editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 0, "Value", 3); // A
- // TclEventType: DEBUG_PROBE_CHANGE
- // Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bR [get_hw_probes startMeasSync_reg_n_0 -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 156 MB. Current time: 8/30/23, 3:05:07 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, adsc]", 0, true); // a - Node
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectButton(RDIResource.WaveformView_ADD, "Waveform Viewer_new"); // E
- selectTree(PAResourceOtoP.ProbesView_PROBES_TREE, "[root, InternalDsp/DspChannel[1].DspSecondTone/NcoSin_i[17:0]]", 3, false); // N
- typeControlKey(PAResourceOtoP.ProbesView_PROBES_TREE, (String) null, 'a'); // N
- selectButton(PAResourceAtoD.AddIlaProbesPopup_OK, "OK"); // a
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: DEBUG_PROBE_CHANGE
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
- // Tcl Message: add_wave -into {hw_ila_data_1.wcfg} -radix hex { {InternalDsp/DspChannel[1].DspFirstTone/NcoCos_i} {InternalDsp/DspChannel[1].DspFirstTone/NcoSin_i} {InternalDsp/DspChannel[1].DspSecondTone/NcoCos_i} {InternalDsp/DspChannel[1].DspSecondTone/NcoSin_i} {ncoCos} {ncoSin} {startMeasSync_reg_n_0} }
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E
- // Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER
- // TclEventType: HW_ILA_CHANGE
- // Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
- // Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2023-Aug-30 15:05:19
- // Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
- // TclEventType: HW_ILA_CHANGE
- // Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
- // Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2023-Aug-30 15:05:19
- // TclEventType: WAVEFORM_GLASSPANE
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:20 PM ICT
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: HW_WAVE_ZIP_EXPORT_DONE
- // TclEventType: WAVEFORM_GLASSPANE
- // Tcl Message: INFO: [Labtools 27-3304] ILA Waveform data saved to file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data.
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 293, 201); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:21 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 277, 231); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 156 MB. Current time: 8/30/23, 3:05:22 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // E
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:24 PM ICT
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 287, 207); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 161 MB. Current time: 8/30/23, 3:05:25 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:25 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 155, 189); // n
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:26 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 161 MB. Current time: 8/30/23, 3:05:26 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 86, 168); // n
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:27 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:27 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // Elapsed time: 13 seconds
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 179, 210); // n
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:40 PM ICT
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 191, 190); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 463, 184); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // E
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:51 PM ICT
- selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // E
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:53 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 232, 140); // n
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:58 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:05:58 PM ICT
- selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoCos[17:0]]", 4, true, true, false, false, false, false); // a - Shift Key - Node
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoCos[17:0]]", 4, true, false, false, false, true, false); // a - Popup Trigger - Node
- selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, InternalDsp/DspChannel[1].DspSecondTone/NcoCos_i[17:0]]", 2, true); // a - Node
- selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoCos[17:0]]", 4, true); // a - Node
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:06:04 PM ICT
- selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoSin[17:0]]", 5, true, true, false, false, false, false); // a - Shift Key - Node
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoSin[17:0]]", 5, true, false, false, false, true, false); // a - Popup Trigger - Node
- selectMenu("Name"); // ah
- selectMenu("Waveform Style"); // ah
- selectMenu("Signal Color"); // ah
- selectMenu("Radix"); // ah
- selectMenu("Radix"); // ah
- selectMenu("Signal Color"); // ah
- selectMenu("Waveform Style"); // ah
- selectMenuItem((HResource) null, "Analog"); // Y
- // TclEventType: DEBUG_PROBE_CHANGE
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:06:07 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // E
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:09 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, InternalDsp/DspChannel[1].DspSecondTone/NcoSin_i[17:0]]", 3, true); // a - Node
- selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, InternalDsp/DspChannel[1].DspFirstTone/NcoCos_i[17:0]]", 0, true, true, false, false, false, false); // a - Shift Key - Node
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 157 MB. Current time: 8/30/23, 3:06:11 PM ICT
- selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, InternalDsp/DspChannel[1].DspFirstTone/NcoCos_i[17:0]]", 0, true, false, false, false, true, false); // a - Popup Trigger - Node
- selectMenu("Name"); // ah
- selectMenu("Waveform Style"); // ah
- selectMenu("Signal Color"); // ah
- selectMenu("Radix"); // ah
- selectMenu("Radix"); // ah
- selectMenu("Signal Color"); // ah
- selectMenu("Waveform Style"); // ah
- selectMenuItem((HResource) null, "Analog"); // Y
- // TclEventType: DEBUG_PROBE_CHANGE
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:15 PM ICT
- selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, InternalDsp/DspChannel[1].DspFirstTone/NcoCos_i[17:0]]", 0, true, false, false, false, true, false); // a - Popup Trigger - Node
- selectMenu("Name"); // ah
- selectMenu("Waveform Style"); // ah
- selectMenu("Signal Color"); // ah
- selectMenu("Radix"); // ah
- selectMenu("Radix"); // ah
- selectMenuItem((HResource) null, "Signed Decimal"); // Y
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: DEBUG_PROBE_CHANGE
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 235, 140); // nWaveform: addNotify
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:21 PM ICT
- maximizeFrame(RDIResource.WorkspaceDockingHolder_DOCKABLE_FRAME, "Waveform - hw_ila_1"); // aa
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:23 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoCos[17:0]]", 4, true); // a - Node
- selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ncoSin[17:0]]", 5, true, true, false, false, false, false); // a - Shift Key - Node
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:27 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 215, 124); // n
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 226, 211); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:30 PM ICT
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 218, 324); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 213, 433); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 222, 351); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 166 MB. Current time: 8/30/23, 3:06:33 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:06:33 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 236, 414); // n
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 162 MB. Current time: 8/30/23, 3:06:33 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:34 PM ICT
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 230, 226); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:06:34 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:06:34 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 247, 95); // n
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:06:35 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 153, 123); // n
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:36 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 158 MB. Current time: 8/30/23, 3:06:39 PM ICT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // E
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:06:43 PM ICT
- selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // E
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // E
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // E
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:06:54 PM ICT
- selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E
- // Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER
- // TclEventType: HW_ILA_CHANGE
- // Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
- // Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2023-Aug-30 15:06:57
- // Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
- // TclEventType: HW_ILA_CHANGE
- // Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
- // Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2023-Aug-30 15:06:57
- // TclEventType: WAVEFORM_GLASSPANE
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: HW_WAVE_ZIP_EXPORT_DONE
- // TclEventType: WAVEFORM_GLASSPANE
- // Tcl Message: INFO: [Labtools 27-3304] ILA Waveform data saved to file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data.
- selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E
- // Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER
- // TclEventType: HW_ILA_CHANGE
- // Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
- // Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2023-Aug-30 15:07:00
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- // Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
- // TclEventType: HW_ILA_CHANGE
- // Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
- // Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2023-Aug-30 15:07:00
- // TclEventType: WAVEFORM_GLASSPANE
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:07:01 PM ICT
- // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: HW_WAVE_ZIP_EXPORT_DONE
- // TclEventType: WAVEFORM_GLASSPANE
- // Tcl Message: INFO: [Labtools 27-3304] ILA Waveform data saved to file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data.
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 194, 216); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // Elapsed time: 20 seconds
- selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E
- // Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER
- // TclEventType: HW_ILA_CHANGE
- // Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
- // Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2023-Aug-30 15:07:23
- // TclEventType: HW_ILA_CHANGE
- // Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
- // TclEventType: HW_ILA_CHANGE
- // TclEventType: WAVEFORM_GLASSPANE
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:07:24 PM ICT
- // Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
- // Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2023-Aug-30 15:07:23
- // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: HW_WAVE_ZIP_EXPORT_DONE
- // TclEventType: WAVEFORM_GLASSPANE
- // Tcl Message: INFO: [Labtools 27-3304] ILA Waveform data saved to file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data.
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E
- // Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER
- // TclEventType: HW_ILA_CHANGE
- // Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
- // Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2023-Aug-30 15:07:30
- // Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
- // TclEventType: HW_ILA_CHANGE
- // Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
- // Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2023-Aug-30 15:07:30
- // TclEventType: WAVEFORM_GLASSPANE
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:07:32 PM ICT
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: HW_WAVE_ZIP_EXPORT_DONE
- // TclEventType: WAVEFORM_GLASSPANE
- // Tcl Message: INFO: [Labtools 27-3304] ILA Waveform data saved to file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data.
- selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E
- // Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER
- // TclEventType: HW_ILA_CHANGE
- // Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
- // Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2023-Aug-30 15:07:32
- // Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: HW_ILA_CHANGE
- // Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s25_0] -filter {CELL_NAME=~"u_ila_0"}]]
- // Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2023-Aug-30 15:07:33
- // TclEventType: WAVEFORM_GLASSPANE
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // TclEventType: WAVEFORM_UPDATE_TITLE
- // TclEventType: HW_WAVE_ZIP_EXPORT_DONE
- // TclEventType: WAVEFORM_GLASSPANE
- // Tcl Message: INFO: [Labtools 27-3304] ILA Waveform data saved to file C:/Users/Stepan/Desktop/4portCompact/S5443Current/S5443_M/S5443.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data.
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_MODEL_EVENT
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 180, 426); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:07:36 PM ICT
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 155, 431); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 135, 441); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 121, 441); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 159 MB. Current time: 8/30/23, 3:07:37 PM ICT
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 142, 421); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 151, 419); // n
- // TclEventType: WAVEFORM_UPDATE_WAVEFORM
- // TclEventType: WAVEFORM_UPDATE_COMMANDS
- // WARNING: HEventQueue.dispatchEvent() is taking 2010 ms.
- // TclEventType: HW_TARGET_NEEDS_CLOSE
- // TclEventType: HW_TARGET_CLOSE
- // TclEventType: HW_TARGET_NEEDS_CLOSE
- // Elapsed time: 387 seconds
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 151, 419); // nWaveform: addNotify
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 151, 419); // nWaveform: addNotify
- selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 151, 419); // nWaveform: addNotify
- // TclEventType: WAVEFORM_MODEL_EVENT
- // TclEventType: WAVEFORM_CLOSE_WCFG
- // TclEventType: HW_OBJECT_DELETE
- // TclEventType: HW_TARGET_NEEDS_CLOSE
- closeView(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // i
- // TclEventType: HW_OBJECT_DELETE
- // TclEventType: HW_SYSMON_DELETE
- // TclEventType: HW_TARGET_UPDATE
- // TclEventType: DEBUG_PROBE_DELETE
- // TclEventType: DEBUG_PROBE_SET_CHANGE
- // Tcl Message: ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Xilinx/00001876fac901
- // TclEventType: HW_SERVER_UPDATE
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 151 MB. Current time: 8/30/23, 3:37:42 PM ICT
- // HMemoryUtils.trashcanNow. Engine heap size: 3,594 MB. GUI used memory: 150 MB. Current time: 8/30/23, 4:07:42 PM ICT
|