| 123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280 |
- set_property PACKAGE_PIN C1 [get_ports Adc1DataDa0P_i]
- set_property PACKAGE_PIN D2 [get_ports Adc1DataDa1P_i]
- set_property PACKAGE_PIN E2 [get_ports Adc1DataDb0P_i]
- set_property PACKAGE_PIN F2 [get_ports Adc1DataDb1P_i]
- set_property PACKAGE_PIN B9 [get_ports Adc2DataDa0P_i]
- set_property PACKAGE_PIN A8 [get_ports Adc2DataDa1P_i]
- set_property PACKAGE_PIN B6 [get_ports Adc2DataDb0P_i]
- set_property PACKAGE_PIN A5 [get_ports Adc2DataDb1P_i]
- #==========================================================================
- # TIMING CONSTRAINTS
- #==========================================================================
- # INPUT CLOCKS
- set_property PACKAGE_PIN C15 [get_ports Clk_i]
- set_property IOSTANDARD LVCMOS25 [get_ports Clk_i]
- create_clock -period 20.000 [get_ports Clk_i]
- #==========================================================================
- # ADC1
- set_property PACKAGE_PIN H1 [get_ports Adc1FclkP_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1FclkP_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1FclkN_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa0P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa0N_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa1P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa1N_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb0P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb0N_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb1P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb1N_i]
- #==========================================================================
- # ADC2
- set_property PACKAGE_PIN A11 [get_ports Adc2FclkP_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2FclkP_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2FclkN_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa0P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa0N_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa1P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa1N_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb0P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb0N_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb1P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb1N_i]
- #==========================================================================
- # DSP interface
- set_property PACKAGE_PIN H14 [get_ports Miso_o]
- set_property IOSTANDARD LVCMOS33 [get_ports Miso_o]
- set_property PACKAGE_PIN H15 [get_ports Mosi_i]
- set_property IOSTANDARD LVCMOS33 [get_ports Mosi_i]
- set_property PACKAGE_PIN J12 [get_ports Ss_i]
- set_property IOSTANDARD LVCMOS33 [get_ports Ss_i]
- set_property PACKAGE_PIN M9 [get_ports Sck_i]
- set_property IOSTANDARD LVCMOS33 [get_ports Sck_i]
- #create_clock -period 24.000 [get_ports Sck_i]
- create_clock -period 16.000 [get_ports Sck_i]
- set_property PACKAGE_PIN N12 [get_ports LpOutClk_o]
- set_property IOSTANDARD LVCMOS33 [get_ports LpOutClk_o]
- set_property PACKAGE_PIN P12 [get_ports LpOutFs_o]
- set_property IOSTANDARD LVCMOS33 [get_ports LpOutFs_o]
- set_property PACKAGE_PIN L15 [get_ports {LpOutData_o[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[0]}]
- set_property PACKAGE_PIN L14 [get_ports {LpOutData_o[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[1]}]
- set_property PACKAGE_PIN M15 [get_ports {LpOutData_o[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[2]}]
- set_property PACKAGE_PIN M13 [get_ports {LpOutData_o[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[3]}]
- set_property PACKAGE_PIN N15 [get_ports {LpOutData_o[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[4]}]
- set_property PACKAGE_PIN M14 [get_ports {LpOutData_o[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[5]}]
- set_property PACKAGE_PIN P15 [get_ports {LpOutData_o[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[6]}]
- set_property PACKAGE_PIN N14 [get_ports {LpOutData_o[7]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[7]}]
- set_property PACKAGE_PIN P14 [get_ports {LpOutData_o[8]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[8]}]
- set_property PACKAGE_PIN R14 [get_ports {LpOutData_o[9]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[9]}]
- set_property PACKAGE_PIN N13 [get_ports {LpOutData_o[10]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[10]}]
- set_property PACKAGE_PIN R13 [get_ports {LpOutData_o[11]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[11]}]
- set_property PACKAGE_PIN R11 [get_ports {LpOutData_o[12]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[12]}]
- set_property PACKAGE_PIN P11 [get_ports {LpOutData_o[13]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[13]}]
- set_property PACKAGE_PIN R10 [get_ports {LpOutData_o[14]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[14]}]
- set_property PACKAGE_PIN P10 [get_ports {LpOutData_o[15]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[15]}]
- #==========================================================================
- # ADC SPI
- set_property PACKAGE_PIN B15 [get_ports AdcInitMosi_o]
- set_property IOSTANDARD LVCMOS25 [get_ports AdcInitMosi_o]
- set_property PACKAGE_PIN A13 [get_ports AdcInitClk_o]
- set_property IOSTANDARD LVCMOS25 [get_ports AdcInitClk_o]
- set_property PACKAGE_PIN B14 [get_ports Adc2InitCs_o]
- set_property IOSTANDARD LVCMOS25 [get_ports Adc2InitCs_o]
- set_property PACKAGE_PIN C14 [get_ports Adc1InitCs_o]
- set_property IOSTANDARD LVCMOS25 [get_ports Adc1InitCs_o]
- set_property PACKAGE_PIN A14 [get_ports AdcInitRst_o]
- set_property IOSTANDARD LVCMOS25 [get_ports AdcInitRst_o]
- #
- #==========================================================================
- # OTHER
- set_property PACKAGE_PIN R6 [get_ports Led_o]
- set_property IOSTANDARD LVCMOS33 [get_ports Led_o]
- set_property PACKAGE_PIN N11 [get_ports Overload_o]
- set_property IOSTANDARD LVCMOS33 [get_ports Overload_o]
- set_property PACKAGE_PIN R8 [get_ports OverloadS_i]
- set_property IOSTANDARD LVCMOS33 [get_ports OverloadS_i]
- set_property PACKAGE_PIN M10 [get_ports StartMeas_i]
- set_property IOSTANDARD LVCMOS33 [get_ports StartMeas_i]
- set_property PACKAGE_PIN M8 [get_ports EndMeas_o]
- set_property IOSTANDARD LVCMOS33 [get_ports EndMeas_o]
- set_property PACKAGE_PIN R9 [get_ports StartMeasEvent_o]
- set_property IOSTANDARD LVCMOS33 [get_ports StartMeasEvent_o]
- set_property PACKAGE_PIN L13 [get_ports TimersClk_o]
- set_property IOSTANDARD LVCMOS33 [get_ports TimersClk_o]
- set_property PACKAGE_PIN A2 [get_ports {AmpEn_o[0]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[0]}]
- set_property PACKAGE_PIN B2 [get_ports {AmpEn_o[1]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[1]}]
- set_property PACKAGE_PIN A3 [get_ports {AmpEn_o[2]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[2]}]
- set_property PACKAGE_PIN A4 [get_ports {AmpEn_o[3]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[3]}]
- set_property PACKAGE_PIN J1 [get_ports {PortSel_o[0]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {PortSel_o[0]}]
- set_property PACKAGE_PIN J2 [get_ports {PortSel_o[1]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {PortSel_o[1]}]
- set_property PACKAGE_PIN R3 [get_ports {PortSel_o[2]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {PortSel_o[2]}]
- set_property PACKAGE_PIN P3 [get_ports {PortSel_o[3]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {PortSel_o[3]}]
- set_property PACKAGE_PIN F14 [get_ports {PortSelDir_o[0]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[0]}]
- set_property PACKAGE_PIN F15 [get_ports {PortSelDir_o[1]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[1]}]
- set_property PACKAGE_PIN R4 [get_ports {PortSelDir_o[2]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[2]}]
- set_property PACKAGE_PIN M4 [get_ports {PortSelDir_o[3]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[3]}]
- set_property PACKAGE_PIN R7 [get_ports DspReadyForRxToFpgaS_o]
- set_property IOSTANDARD LVCMOS33 [get_ports DspReadyForRxToFpgaS_o]
- set_property PACKAGE_PIN R5 [get_ports DspReadyForRx_i]
- set_property IOSTANDARD LVCMOS33 [get_ports DspReadyForRx_i]
- set_property PACKAGE_PIN P7 [get_ports StartMeasDsp_o]
- set_property IOSTANDARD LVCMOS33 [get_ports StartMeasDsp_o]
- set_property PACKAGE_PIN E14 [get_ports Mod_o]
- set_property IOSTANDARD LVCMOS25 [get_ports Mod_o]
- set_property PACKAGE_PIN K15 [get_ports DspTrigOut_i]
- set_property IOSTANDARD LVCMOS33 [get_ports DspTrigOut_i]
- set_property PACKAGE_PIN K14 [get_ports DspTrigIn_o]
- set_property IOSTANDARD LVCMOS33 [get_ports DspTrigIn_o]
- set_property PACKAGE_PIN D15 [get_ports {Trig6to1_io[0]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[0]}]
- set_property PACKAGE_PIN E15 [get_ports {Trig6to1_io[1]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[1]}]
- set_property PACKAGE_PIN P2 [get_ports {Trig6to1_io[2]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[2]}]
- set_property PACKAGE_PIN N4 [get_ports {Trig6to1_io[3]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[3]}]
- set_property PACKAGE_PIN P1 [get_ports {Trig6to1_io[4]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[4]}]
- set_property PACKAGE_PIN N2 [get_ports {Trig6to1_io[5]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[5]}]
- set_property PACKAGE_PIN C13 [get_ports {Trig6to1Dir_o[0]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[0]}]
- set_property PACKAGE_PIN B13 [get_ports {Trig6to1Dir_o[1]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[1]}]
- set_property PACKAGE_PIN N3 [get_ports {Trig6to1Dir_o[2]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[2]}]
- set_property PACKAGE_PIN R2 [get_ports {Trig6to1Dir_o[3]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[3]}]
- set_property PACKAGE_PIN N1 [get_ports {Trig6to1Dir_o[4]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[4]}]
- set_property PACKAGE_PIN M3 [get_ports {Trig6to1Dir_o[5]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[5]}]
- set_property PACKAGE_PIN M1 [get_ports DitherCtrlCh1_o]
- set_property IOSTANDARD LVCMOS25 [get_ports DitherCtrlCh1_o]
- set_property PACKAGE_PIN M2 [get_ports DitherCtrlCh2_o]
- set_property IOSTANDARD LVCMOS25 [get_ports DitherCtrlCh2_o]
- set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Ss_i_IBUF]
- #set_false_path -from [get_clocks -of_objects [get_pins Clk200Gen/rx_plle2_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins Clk200Gen/rx_plle2_adv_inst/CLKOUT0]]
- create_debug_core u_ila_0 ila
- set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0]
- set_property ALL_PROBE_SAME_MU_CNT 1 [get_debug_cores u_ila_0]
- set_property C_ADV_TRIGGER false [get_debug_cores u_ila_0]
- set_property C_DATA_DEPTH 2048 [get_debug_cores u_ila_0]
- set_property C_EN_STRG_QUAL false [get_debug_cores u_ila_0]
- set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0]
- set_property C_TRIGIN_EN false [get_debug_cores u_ila_0]
- set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0]
- set_property port_width 1 [get_debug_ports u_ila_0/clk]
- connect_debug_port u_ila_0/clk [get_nets [list gclk_BUFG]]
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe0]
- set_property port_width 20 [get_debug_ports u_ila_0/probe0]
- connect_debug_port u_ila_0/probe0 [get_nets [list {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[0]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[1]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[2]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[3]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[4]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[5]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[6]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[7]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[8]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[9]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[10]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[11]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[12]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[13]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[14]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[15]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[16]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[17]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[18]} {InternalDsp/DspChannel[1].AdcCalibrationInst/calValue[19]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe1]
- set_property port_width 20 [get_debug_ports u_ila_0/probe1]
- connect_debug_port u_ila_0/probe1 [get_nets [list {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[0]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[1]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[2]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[3]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[4]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[5]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[6]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[7]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[8]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[9]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[10]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[11]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[12]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[13]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[14]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[15]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[16]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[17]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[18]} {InternalDsp/DspChannel[1].DspPipelineInst/AdcData_i[19]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe2]
- set_property port_width 20 [get_debug_ports u_ila_0/probe2]
- connect_debug_port u_ila_0/probe2 [get_nets [list {InternalDsp/calAdcData[1][0]} {InternalDsp/calAdcData[1][1]} {InternalDsp/calAdcData[1][2]} {InternalDsp/calAdcData[1][3]} {InternalDsp/calAdcData[1][4]} {InternalDsp/calAdcData[1][5]} {InternalDsp/calAdcData[1][6]} {InternalDsp/calAdcData[1][7]} {InternalDsp/calAdcData[1][8]} {InternalDsp/calAdcData[1][9]} {InternalDsp/calAdcData[1][10]} {InternalDsp/calAdcData[1][11]} {InternalDsp/calAdcData[1][12]} {InternalDsp/calAdcData[1][13]} {InternalDsp/calAdcData[1][14]} {InternalDsp/calAdcData[1][15]} {InternalDsp/calAdcData[1][16]} {InternalDsp/calAdcData[1][17]} {InternalDsp/calAdcData[1][18]} {InternalDsp/calAdcData[1][19]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe3]
- set_property port_width 18 [get_debug_ports u_ila_0/probe3]
- connect_debug_port u_ila_0/probe3 [get_nets [list {InternalDsp/wind[0]} {InternalDsp/wind[1]} {InternalDsp/wind[2]} {InternalDsp/wind[3]} {InternalDsp/wind[4]} {InternalDsp/wind[5]} {InternalDsp/wind[6]} {InternalDsp/wind[7]} {InternalDsp/wind[8]} {InternalDsp/wind[9]} {InternalDsp/wind[10]} {InternalDsp/wind[11]} {InternalDsp/wind[12]} {InternalDsp/wind[13]} {InternalDsp/wind[14]} {InternalDsp/wind[15]} {InternalDsp/wind[16]} {InternalDsp/wind[17]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe4]
- set_property port_width 14 [get_debug_ports u_ila_0/probe4]
- connect_debug_port u_ila_0/probe4 [get_nets [list {InternalDsp/Adc1ChR1Data_i[0]} {InternalDsp/Adc1ChR1Data_i[1]} {InternalDsp/Adc1ChR1Data_i[2]} {InternalDsp/Adc1ChR1Data_i[3]} {InternalDsp/Adc1ChR1Data_i[4]} {InternalDsp/Adc1ChR1Data_i[5]} {InternalDsp/Adc1ChR1Data_i[6]} {InternalDsp/Adc1ChR1Data_i[7]} {InternalDsp/Adc1ChR1Data_i[8]} {InternalDsp/Adc1ChR1Data_i[9]} {InternalDsp/Adc1ChR1Data_i[10]} {InternalDsp/Adc1ChR1Data_i[11]} {InternalDsp/Adc1ChR1Data_i[12]} {InternalDsp/Adc1ChR1Data_i[13]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe5]
- set_property port_width 3 [get_debug_ports u_ila_0/probe5]
- connect_debug_port u_ila_0/probe5 [get_nets [list {InternalDsp/calDone__0[0]} {InternalDsp/calDone__0[1]} {InternalDsp/calDone__0[3]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe6]
- set_property port_width 1 [get_debug_ports u_ila_0/probe6]
- connect_debug_port u_ila_0/probe6 [get_nets [list InternalDsp/CalModeEn_i]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe7]
- set_property port_width 1 [get_debug_ports u_ila_0/probe7]
- connect_debug_port u_ila_0/probe7 [get_nets [list InternalDsp/StartMeas_i]]
- set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub]
- set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub]
- set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub]
- connect_debug_port dbg_hub/clk [get_nets gclk_BUFG]
|