S5443Top.v 32 KB

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455565758596061626364656667686970717273747576777879808182838485868788899091929394959697989910010110210310410510610710810911011111211311411511611711811912012112212312412512612712812913013113213313413513613713813914014114214314414514614714814915015115215315415515615715815916016116216316416516616716816917017117217317417517617717817918018118218318418518618718818919019119219319419519619719819920020120220320420520620720820921021121221321421521621721821922022122222322422522622722822923023123223323423523623723823924024124224324424524624724824925025125225325425525625725825926026126226326426526626726826927027127227327427527627727827928028128228328428528628728828929029129229329429529629729829930030130230330430530630730830931031131231331431531631731831932032132232332432532632732832933033133233333433533633733833934034134234334434534634734834935035135235335435535635735835936036136236336436536636736836937037137237337437537637737837938038138238338438538638738838939039139239339439539639739839940040140240340440540640740840941041141241341441541641741841942042142242342442542642742842943043143243343443543643743843944044144244344444544644744844945045145245345445545645745845946046146246346446546646746846947047147247347447547647747847948048148248348448548648748848949049149249349449549649749849950050150250350450550650750850951051151251351451551651751851952052152252352452552652752852953053153253353453553653753853954054154254354454554654754854955055155255355455555655755855956056156256356456556656756856957057157257357457557657757857958058158258358458558658758858959059159259359459559659759859960060160260360460560660760860961061161261361461561661761861962062162262362462562662762862963063163263363463563663763863964064164264364464564664764864965065165265365465565665765865966066166266366466566666766866967067167267367467567667767867968068168268368468568668768868969069169269369469569669769869970070170270370470570670770870971071171271371471571671771871972072172272372472572672772872973073173273373473573673773873974074174274374474574674774874975075175275375475575675775875976076176276376476576676776876977077177277377477577677777877978078178278378478578678778878979079179279379479579679779879980080180280380480580680780880981081181281381481581681781881982082182282382482582682782882983083183283383483583683783883984084184284384484584684784884985085185285385485585685785885986086186286386486586686786886987087187287387487587687787887988088188288388488588688788888989089189289389489589689789889990090190290390490590690790890991091191291391491591691791891992092192292392492592692792892993093193293393493593693793893994094194294394494594694794894995095195295395495595695795895996096196296396496596696796896997097197297397497597697797897998098198298398498598698798898999099199299399499599699799899910001001100210031004100510061007100810091010101110121013101410151016101710181019102010211022102310241025102610271028102910301031103210331034103510361037103810391040104110421043104410451046104710481049105010511052105310541055105610571058105910601061106210631064106510661067106810691070107110721073107410751076107710781079108010811082108310841085108610871088108910901091109210931094109510961097109810991100110111021103110411051106110711081109111011111112111311141115111611171118111911201121112211231124112511261127112811291130113111321133113411351136113711381139114011411142114311441145114611471148114911501151115211531154115511561157115811591160116111621163116411651166116711681169117011711172117311741175117611771178117911801181
  1. `timescale 1ns / 1ps
  2. //////////////////////////////////////////////////////////////////////////////////
  3. // company:
  4. // engineer:
  5. //
  6. // create date: 12:23:20 05/20/2019
  7. // design name:
  8. // module name: S5443Top
  9. // project name:
  10. // target devices:
  11. // tool versions:
  12. // description:
  13. //
  14. // dependencies:
  15. //
  16. // revision:
  17. // revision 0.01 - file created
  18. // additional comments:
  19. //
  20. //================================================================================
  21. //
  22. //Spi clock for ADC initialization is 15Mhz.
  23. //Spi clock for RegMap work is 41Mhz.
  24. //Нужно сделать процедуру сброса для импульсных измерений, такую же как для обычных, тоесть по детектированию спадающего фронта StartMeas;
  25. //Забрать из команды настройки измерения, биты управления ключем и замкнуть на выходы.
  26. //////////////////////////////////////////////////////////////////////////////////
  27. // xc7s25-2csga225
  28. module S5443Top
  29. #(
  30. parameter LpDataWidth = 16,
  31. parameter CtrlWidth = 4,
  32. parameter AdcDataWidth = 14,
  33. parameter ThresholdWidth = 24,
  34. parameter ResultWidth = 32,
  35. parameter ChNum = 4,
  36. parameter PGenNum = 7,
  37. parameter TrigPortsNum = 6,
  38. parameter Ratio = 8,
  39. parameter DelayValue = 24000,
  40. parameter LengthWidth = 2000,
  41. parameter DataWidth = 24,
  42. parameter DataNum = 26,
  43. parameter CmdRegWidth = 32,
  44. parameter HeaderWidth = 7,
  45. parameter CmdDataRegWith = 24,
  46. parameter DataCntWidth = 5,
  47. parameter Divparam = 4,
  48. parameter MeasPeriod = 44,
  49. parameter PhIncWidth = 32,
  50. parameter NcoWidth = 18
  51. )
  52. (
  53. //common ports
  54. input Clk_i,
  55. //fpga-adc1 data interface
  56. input Adc1FclkP_i,
  57. input Adc1FclkN_i,
  58. input Adc1DataDa0P_i,
  59. input Adc1DataDa0N_i,
  60. input Adc1DataDa1P_i,
  61. input Adc1DataDa1N_i,
  62. input Adc1DataDb0P_i,
  63. input Adc1DataDb0N_i,
  64. input Adc1DataDb1P_i,
  65. input Adc1DataDb1N_i,
  66. //fpga-adc2 data interface
  67. input Adc2FclkP_i,
  68. input Adc2FclkN_i,
  69. input Adc2DataDa0P_i,
  70. input Adc2DataDa0N_i,
  71. input Adc2DataDa1P_i,
  72. input Adc2DataDa1N_i,
  73. input Adc2DataDb0P_i,
  74. input Adc2DataDb0N_i,
  75. input Adc2DataDb1P_i,
  76. input Adc2DataDb1N_i,
  77. //fpga-adc's initialization interface
  78. output AdcInitMosi_o,
  79. output AdcInitClk_o,
  80. output Adc1InitCs_o,
  81. output Adc2InitCs_o,
  82. output AdcInitRst_o,
  83. //ditherCtrl
  84. output DitherCtrlCh1_o,
  85. output DitherCtrlCh2_o,
  86. //fpga-dsp cmd interface
  87. input Mosi_i,
  88. input Sck_i,
  89. input Ss_i,
  90. // input Miso_i,
  91. output Miso_o,
  92. //fpga-dsp data interface
  93. output LpOutClk_o,
  94. output LpOutFs_o,
  95. output [LpDataWidth-1:0] LpOutData_o,
  96. //fpga-dsp signals
  97. input StartMeasDsp_i, //"high"- start meas, "low"-stop meas
  98. input StartMeasEvent_i,
  99. //overload lines
  100. output Overload_o,
  101. //gain lines
  102. input DspReadyForRx_i,
  103. output [ChNum-1:0] AmpEn_o, // 0-adc1ChA 1-adc1ChB 2-adc2ChA 3-adc2ChB
  104. ///test port for testbench
  105. input [AdcDataWidth-1:0] AdcData_i
  106. );
  107. //================================================================================
  108. // reg/wire
  109. //================================================================================
  110. //captured data
  111. wire [AdcDataWidth-1:0] adc1ChT1Data;
  112. wire [AdcDataWidth-1:0] adc1ChR1Data;
  113. wire [AdcDataWidth-1:0] adc2ChR2Data;
  114. wire [AdcDataWidth-1:0] adc2ChT2Data;
  115. reg startMeasSync;
  116. wire intTrig1;
  117. reg startMeasEventReg;
  118. wire startMeasEventPos = (!startMeasEventReg&StartMeasEvent_i);
  119. wire intTrig;
  120. wire trigForIntTrig2;
  121. wire intTrig2;
  122. wire gatingPulse;
  123. wire sampleStrobe;
  124. wire [ChNum-1:0] measStartBus;
  125. wire measStart;
  126. //spi signals for adc init
  127. wire adcInitRst;
  128. wire adcInitMosi;
  129. wire adcInitSck;
  130. wire adc0InitCs;
  131. wire adc1InitCs;
  132. wire [ResultWidth-1:0] adc1ImT1;
  133. wire [ResultWidth-1:0] adc1ReT1;
  134. wire [ResultWidth-1:0] adc1ImR1;
  135. wire [ResultWidth-1:0] adc1ReR1;
  136. wire [ResultWidth-1:0] adc2ImT2;
  137. wire [ResultWidth-1:0] adc2ReT2;
  138. wire [ResultWidth-1:0] adc2ImR2;
  139. wire [ResultWidth-1:0] adc2ReR2;
  140. wire measDataRdy;
  141. wire timersClk;
  142. wire [ThresholdWidth-1:0] lowThreshold;
  143. wire [ThresholdWidth-1:0] highThreshold;
  144. wire initRst;
  145. wire gclk;
  146. reg ledReg;
  147. wire [CmdRegWidth-1:0] cmdDataReg;
  148. wire cmdDataVal;
  149. wire [CmdDataRegWith-1:0] ansReg;
  150. wire [HeaderWidth-1:0] ansAddr;
  151. wire [CmdDataRegWith-1:0] gainCtrl;
  152. wire [CmdDataRegWith-1:0] gainLowThreshT1;
  153. wire [CmdDataRegWith-1:0] gainHighThreshT1;
  154. wire [CmdDataRegWith-1:0] gainLowThreshR1;
  155. wire [CmdDataRegWith-1:0] gainHighThreshR1;
  156. wire [CmdDataRegWith-1:0] gainLowThreshT2;
  157. wire [CmdDataRegWith-1:0] gainHighThreshT2;
  158. wire [CmdDataRegWith-1:0] gainLowThreshR2;
  159. wire [CmdDataRegWith-1:0] gainHighThreshR2;
  160. wire [ChNum-1:0] overCtrlChannels;
  161. wire [CmdDataRegWith-1:0] overCtrl = {{CmdDataRegWith-ChNum{1'b0}},overCtrlChannels};
  162. wire [CmdDataRegWith-1:0] overThresh;
  163. wire [CmdDataRegWith-1:0] ditherCtrl;
  164. wire [CmdDataRegWith-1:0] windowGenPhase1;
  165. wire [CmdDataRegWith-1:0] windowGenPhase2;
  166. wire [CmdDataRegWith-1:0] adcCtrl;
  167. wire [CmdDataRegWith-1:0] adcDirectRd0;
  168. wire [CmdDataRegWith-1:0] adcDirectRd1;
  169. wire [CmdDataRegWith-1:0] ifFtwL;
  170. wire [CmdDataRegWith-1:0] ifFtwH;
  171. wire [CmdDataRegWith-1:0] measCtrl;
  172. wire [CmdDataRegWith-1:0] amplitudeMod;
  173. wire [CmdDataRegWith-1:0] dspTrigIn;
  174. wire [CmdDataRegWith-1:0] dspTrigOut;
  175. wire [CmdDataRegWith-1:0] dspTrigIn1;
  176. wire [CmdDataRegWith-1:0] dspTrigIn2;
  177. wire [CmdDataRegWith-1:0] dspTrigOut1;
  178. wire [CmdDataRegWith-1:0] dspTrigOut2;
  179. wire [CmdDataRegWith-1:0] filterCorrCoefL;
  180. wire [CmdDataRegWith-1:0] filterCorrCoefH;
  181. wire trigToDsp0;
  182. wire trigToDsp1;
  183. wire intTrigToExtDev0;
  184. wire intTrigToExtDev1;
  185. wire delayDoneFlag0;
  186. wire delayDoneFlag1;
  187. wire trigEn0;
  188. wire trigEn1;
  189. wire stopMeas;
  190. reg stopMeasR;
  191. wire [NcoWidth-1:0] ncoCos;
  192. wire [NcoWidth-1:0] ncoSin;
  193. wire [CmdDataRegWith-1:0] gainLowThresholdBus [ChNum-1:0];
  194. wire [CmdDataRegWith-1:0] gainHighThresholdBus [ChNum-1:0];
  195. wire [ChNum-1:0] ampEnNewStates;
  196. wire [ChNum-1:0] sensEn;
  197. wire [ChNum-1:0] gainManual;
  198. wire [ChNum-1:0] gainAutoEn;
  199. wire [AdcDataWidth-1:0] adcDataBus [ChNum-1:0];
  200. wire overCtrlR = |overCtrlChannels[ChNum-1:0];
  201. localparam TESTCNTPARAM = 32'd100000000;
  202. reg [31:0] testCnt;
  203. wire refClk;
  204. wire windClk150;
  205. wire measWind;
  206. wire measTrig;
  207. wire measTrigVal;
  208. wire refSeqPulse;
  209. wire refSeq;
  210. //Pmeas wires
  211. //PG1 Regs
  212. wire [CmdDataRegWith-1:0] pG1P1Del;
  213. wire [CmdDataRegWith-1:0] pG1P2Del;
  214. wire [CmdDataRegWith-1:0] pG1P3Del;
  215. wire [CmdDataRegWith-1:0] pG1P123Del;
  216. wire [CmdDataRegWith-1:0] pG1P1Width;
  217. wire [CmdDataRegWith-1:0] pG1P2Width;
  218. wire [CmdDataRegWith-1:0] pG1P3Width;
  219. wire [CmdDataRegWith-1:0] pG1P123Width;
  220. //PG2 Regs
  221. wire [CmdDataRegWith-1:0] pG2P1Del;
  222. wire [CmdDataRegWith-1:0] pG2P2Del;
  223. wire [CmdDataRegWith-1:0] pG2P3Del;
  224. wire [CmdDataRegWith-1:0] pG2P123Del;
  225. wire [CmdDataRegWith-1:0] pG2P1Width;
  226. wire [CmdDataRegWith-1:0] pG2P2Width;
  227. wire [CmdDataRegWith-1:0] pG2P3Width;
  228. wire [CmdDataRegWith-1:0] pG2P123Width;
  229. //PG3 Regs
  230. wire [CmdDataRegWith-1:0] pG3P1Del;
  231. wire [CmdDataRegWith-1:0] pG3P2Del;
  232. wire [CmdDataRegWith-1:0] pG3P3Del;
  233. wire [CmdDataRegWith-1:0] pG3P123Del;
  234. wire [CmdDataRegWith-1:0] pG3P1Width;
  235. wire [CmdDataRegWith-1:0] pG3P2Width;
  236. wire [CmdDataRegWith-1:0] pG3P3Width;
  237. wire [CmdDataRegWith-1:0] pG3P123Width;
  238. //PG4 Regs
  239. wire [CmdDataRegWith-1:0] pG4P1Del;
  240. wire [CmdDataRegWith-1:0] pG4P2Del;
  241. wire [CmdDataRegWith-1:0] pG4P3Del;
  242. wire [CmdDataRegWith-1:0] pG4P123Del;
  243. wire [CmdDataRegWith-1:0] pG4P1Width;
  244. wire [CmdDataRegWith-1:0] pG4P2Width;
  245. wire [CmdDataRegWith-1:0] pG4P3Width;
  246. wire [CmdDataRegWith-1:0] pG4P123Width;
  247. //PG5 Regs
  248. wire [CmdDataRegWith-1:0] pG5P1Del;
  249. wire [CmdDataRegWith-1:0] pG5P2Del;
  250. wire [CmdDataRegWith-1:0] pG5P3Del;
  251. wire [CmdDataRegWith-1:0] pG5P123Del;
  252. wire [CmdDataRegWith-1:0] pG5P1Width;
  253. wire [CmdDataRegWith-1:0] pG5P2Width;
  254. wire [CmdDataRegWith-1:0] pG5P3Width;
  255. wire [CmdDataRegWith-1:0] pG5P123Width;
  256. //PG6 Regs
  257. wire [CmdDataRegWith-1:0] pG6P1Del;
  258. wire [CmdDataRegWith-1:0] pG6P2Del;
  259. wire [CmdDataRegWith-1:0] pG6P3Del;
  260. wire [CmdDataRegWith-1:0] pG6P123Del;
  261. wire [CmdDataRegWith-1:0] pG6P1Width;
  262. wire [CmdDataRegWith-1:0] pG6P2Width;
  263. wire [CmdDataRegWith-1:0] pG6P3Width;
  264. wire [CmdDataRegWith-1:0] pG6P123Width;
  265. //PG7 Regs
  266. wire [CmdDataRegWith-1:0] pG7P1Del;
  267. wire [CmdDataRegWith-1:0] pG7P2Del;
  268. wire [CmdDataRegWith-1:0] pG7P3Del;
  269. wire [CmdDataRegWith-1:0] pG7P123Del;
  270. wire [CmdDataRegWith-1:0] pG7P1Width;
  271. wire [CmdDataRegWith-1:0] pG7P2Width;
  272. wire [CmdDataRegWith-1:0] pG7P3Width;
  273. wire [CmdDataRegWith-1:0] pG7P123Width;
  274. wire [CmdDataRegWith-1:0] measNum1;
  275. wire [CmdDataRegWith-1:0] measNum2;
  276. wire [CmdDataRegWith-1:0] pgMode0;
  277. wire [CmdDataRegWith-1:0] pgMode1;
  278. wire [CmdDataRegWith-1:0] muxCtrl1;
  279. wire [CmdDataRegWith-1:0] muxCtrl2;
  280. wire [CmdDataRegWith-1:0] muxCtrl3;
  281. wire [CmdDataRegWith-1:0] muxCtrl4;
  282. wire [CmdRegWidth-29:0] pgModeArray [PGenNum-1:0];
  283. wire pgPulsePolArray [PGenNum-1:0];
  284. wire pgEnEdgeArray [PGenNum-1:0];
  285. wire [PGenNum-1:0] pgRstArray;
  286. wire [6:0] pGenRst;
  287. wire [6:0] pGenMeasRst;
  288. wire pGenRstDone;
  289. wire [CmdRegWidth-28:0] pgMuxCtrlArray [PGenNum-1:0];
  290. wire [CmdRegWidth-28:0] extTrigMuxCtrlArray [TrigPortsNum-1:0];
  291. wire [TrigPortsNum-1:0] extTrigDirCmd = measCtrl[21:16];
  292. wire [CmdRegWidth-1:0] pgP1DelArray [PGenNum-1:0];
  293. wire [CmdRegWidth-1:0] pgP2DelArray [PGenNum-1:0];
  294. wire [CmdRegWidth-1:0] pgP3DelArray [PGenNum-1:0];
  295. wire [CmdRegWidth-1:0] pgP1WidthArray [PGenNum-1:0];
  296. wire [CmdRegWidth-1:0] pgP2WidthArray [PGenNum-1:0];
  297. wire [CmdRegWidth-1:0] pgP3WidthArray [PGenNum-1:0];
  298. wire [PGenNum-1:0] pulseBus;
  299. wire [PGenNum-1:0] pgMuxedOut;
  300. wire [TrigPortsNum-1:0] extPortsMuxedOut;
  301. wire measEnd;
  302. wire modOut;
  303. wire [3:0] modKeyCtrl;
  304. wire tirgToDspEvent;
  305. wire trigFromDspEvent;
  306. wire oscWind;
  307. wire oscDataRdFlag;
  308. wire dspBusy;
  309. wire fifoEn;
  310. reg dspReadyForRxReg;
  311. wire sampleStrobeGenRst;
  312. //================================================================================
  313. // assignments
  314. //================================================================================
  315. assign pgModeArray [PGenNum-1] = pgMode0[21:18];
  316. assign pgModeArray [PGenNum-2] = pgMode0[17:15];
  317. assign pgModeArray [PGenNum-3] = pgMode0[14:12];
  318. assign pgModeArray [PGenNum-4] = pgMode0[11:9];
  319. assign pgModeArray [PGenNum-5] = pgMode0[8:6];
  320. assign pgModeArray [PGenNum-6] = pgMode0[5:3];
  321. assign pgModeArray [PGenNum-7] = pgMode0[2:0];
  322. assign pgPulsePolArray [PGenNum-1] = pgMode1[16];
  323. assign pgPulsePolArray [PGenNum-2] = pgMode1[15];
  324. assign pgPulsePolArray [PGenNum-3] = pgMode1[14];
  325. assign pgPulsePolArray [PGenNum-4] = pgMode1[13];
  326. assign pgPulsePolArray [PGenNum-5] = pgMode1[12];
  327. assign pgPulsePolArray [PGenNum-6] = pgMode1[11];
  328. assign pgPulsePolArray [PGenNum-7] = pgMode1[10];
  329. assign pgEnEdgeArray [PGenNum-1] = pgMode1[23];
  330. assign pgEnEdgeArray [PGenNum-2] = pgMode1[22];
  331. assign pgEnEdgeArray [PGenNum-3] = pgMode1[21];
  332. assign pgEnEdgeArray [PGenNum-4] = pgMode1[20];
  333. assign pgEnEdgeArray [PGenNum-5] = pgMode1[19];
  334. assign pgEnEdgeArray [PGenNum-6] = pgMode1[18];
  335. assign pgEnEdgeArray [PGenNum-7] = pgMode1[17];
  336. assign pgRstArray [PGenNum-1] = pgMode1[6];
  337. assign pgRstArray [PGenNum-2] = pgMode1[5];
  338. assign pgRstArray [PGenNum-3] = pgMode1[4];
  339. assign pgRstArray [PGenNum-4] = pgMode1[3];
  340. assign pgRstArray [PGenNum-5] = pgMode1[2];
  341. assign pgRstArray [PGenNum-6] = pgMode1[1];
  342. assign pgRstArray [PGenNum-7] = pgMode1[0];
  343. assign pgMuxCtrlArray [PGenNum-1] = muxCtrl1[19:15];
  344. assign pgMuxCtrlArray [PGenNum-2] = muxCtrl1[14:10];
  345. assign pgMuxCtrlArray [PGenNum-3] = muxCtrl1[9:5];
  346. assign pgMuxCtrlArray [PGenNum-4] = muxCtrl1[4:0];
  347. assign pgMuxCtrlArray [PGenNum-5] = muxCtrl2[19:15];
  348. assign pgMuxCtrlArray [PGenNum-6] = muxCtrl2[14:10];
  349. assign pgMuxCtrlArray [PGenNum-7] = muxCtrl2[9:5];
  350. assign extTrigMuxCtrlArray [TrigPortsNum-1] = muxCtrl4[19:15];
  351. assign extTrigMuxCtrlArray [TrigPortsNum-2] = muxCtrl4[14:10];
  352. assign extTrigMuxCtrlArray [TrigPortsNum-3] = muxCtrl4[9:5];
  353. assign extTrigMuxCtrlArray [TrigPortsNum-4] = muxCtrl4[4:0];
  354. assign extTrigMuxCtrlArray [TrigPortsNum-5] = muxCtrl3[9:5];
  355. assign extTrigMuxCtrlArray [TrigPortsNum-6] = muxCtrl3[4:0];
  356. assign pgP1DelArray[PGenNum-1] = {pG7P123Del[7:0],pG7P1Del};
  357. assign pgP1DelArray[PGenNum-2] = {pG6P123Del[7:0],pG6P1Del};
  358. assign pgP1DelArray[PGenNum-3] = {pG5P123Del[7:0],pG5P1Del};
  359. assign pgP1DelArray[PGenNum-4] = {pG4P123Del[7:0],pG4P1Del};
  360. assign pgP1DelArray[PGenNum-5] = {pG3P123Del[7:0],pG3P1Del};
  361. assign pgP1DelArray[PGenNum-6] = {pG2P123Del[7:0],pG2P1Del};
  362. assign pgP1DelArray[PGenNum-7] = {pG1P123Del[7:0],pG1P1Del};
  363. assign pgP2DelArray[PGenNum-1] = {pG7P123Del[15:8],pG7P2Del};
  364. assign pgP2DelArray[PGenNum-2] = {pG6P123Del[15:8],pG6P2Del};
  365. assign pgP2DelArray[PGenNum-3] = {pG5P123Del[15:8],pG5P2Del};
  366. assign pgP2DelArray[PGenNum-4] = {pG4P123Del[15:8],pG4P2Del};
  367. assign pgP2DelArray[PGenNum-5] = {pG3P123Del[15:8],pG3P2Del};
  368. assign pgP2DelArray[PGenNum-6] = {pG2P123Del[15:8],pG2P2Del};
  369. assign pgP2DelArray[PGenNum-7] = {pG1P123Del[15:8],pG1P2Del};
  370. assign pgP3DelArray[PGenNum-1] = {pG7P123Del[23:16],pG7P3Del};
  371. assign pgP3DelArray[PGenNum-2] = {pG6P123Del[23:16],pG6P3Del};
  372. assign pgP3DelArray[PGenNum-3] = {pG5P123Del[23:16],pG5P3Del};
  373. assign pgP3DelArray[PGenNum-4] = {pG4P123Del[23:16],pG4P3Del};
  374. assign pgP3DelArray[PGenNum-5] = {pG3P123Del[23:16],pG3P3Del};
  375. assign pgP3DelArray[PGenNum-6] = {pG2P123Del[23:16],pG2P3Del};
  376. assign pgP3DelArray[PGenNum-7] = {pG1P123Del[23:16],pG1P3Del};
  377. assign pgP1WidthArray[PGenNum-1] = {pG7P123Width[7:0],pG7P1Width};
  378. assign pgP1WidthArray[PGenNum-2] = {pG6P123Width[7:0],pG6P1Width};
  379. assign pgP1WidthArray[PGenNum-3] = {pG5P123Width[7:0],pG5P1Width};
  380. assign pgP1WidthArray[PGenNum-4] = {pG4P123Width[7:0],pG4P1Width};
  381. assign pgP1WidthArray[PGenNum-5] = {pG3P123Width[7:0],pG3P1Width};
  382. assign pgP1WidthArray[PGenNum-6] = {pG2P123Width[7:0],pG2P1Width};
  383. assign pgP1WidthArray[PGenNum-7] = {pG1P123Width[7:0],pG1P1Width};
  384. assign pgP2WidthArray[PGenNum-1] = {pG7P123Width[15:8],pG7P2Width};
  385. assign pgP2WidthArray[PGenNum-2] = {pG6P123Width[15:8],pG6P2Width};
  386. assign pgP2WidthArray[PGenNum-3] = {pG5P123Width[15:8],pG5P2Width};
  387. assign pgP2WidthArray[PGenNum-4] = {pG4P123Width[15:8],pG4P2Width};
  388. assign pgP2WidthArray[PGenNum-5] = {pG3P123Width[15:8],pG3P2Width};
  389. assign pgP2WidthArray[PGenNum-6] = {pG2P123Width[15:8],pG2P2Width};
  390. assign pgP2WidthArray[PGenNum-7] = {pG1P123Width[15:8],pG1P2Width};
  391. assign pgP3WidthArray[PGenNum-1] = {pG7P123Width[23:16],pG7P3Width};
  392. assign pgP3WidthArray[PGenNum-2] = {pG6P123Width[23:16],pG6P3Width};
  393. assign pgP3WidthArray[PGenNum-3] = {pG5P123Width[23:16],pG5P3Width};
  394. assign pgP3WidthArray[PGenNum-4] = {pG4P123Width[23:16],pG4P3Width};
  395. assign pgP3WidthArray[PGenNum-5] = {pG3P123Width[23:16],pG3P3Width};
  396. assign pgP3WidthArray[PGenNum-6] = {pG2P123Width[23:16],pG2P3Width};
  397. assign pgP3WidthArray[PGenNum-7] = {pG1P123Width[23:16],pG1P3Width};
  398. assign adcDataBus [ChNum-4] = adc1ChT1Data;
  399. assign adcDataBus [ChNum-3] = adc1ChR1Data;
  400. assign adcDataBus [ChNum-2] = adc2ChR2Data;
  401. assign adcDataBus [ChNum-1] = adc2ChT2Data;
  402. assign gainManual [ChNum-4] = gainCtrl[5];
  403. assign gainManual [ChNum-3] = gainCtrl[4];
  404. assign gainManual [ChNum-2] = gainCtrl[6];
  405. assign gainManual [ChNum-1] = gainCtrl[7];
  406. assign gainAutoEn [ChNum-4] = gainCtrl[1];
  407. assign gainAutoEn [ChNum-3] = gainCtrl[0];
  408. assign gainAutoEn [ChNum-2] = gainCtrl[2];
  409. assign gainAutoEn [ChNum-1] = gainCtrl[3];
  410. assign AdcInitMosi_o = adcInitMosi;
  411. assign AdcInitClk_o = adcInitSck;
  412. assign Adc1InitCs_o = adc0InitCs;
  413. assign Adc2InitCs_o = adc1InitCs;
  414. assign AdcInitRst_o = adcCtrl[0];
  415. // assign Led_o = ledReg &(adc1ImT1|adc1ReT1|adc1ImR1|adc1ReR1|adc2ImT2|adc2ReT2|adc2ImR2|adc2ReR2);
  416. assign EndMeas_o = stopMeas|stopMeasR; //stretching pulse for 1 more clk period
  417. assign gainLowThresholdBus [ChNum-4] = gainLowThreshT1;
  418. assign gainLowThresholdBus [ChNum-3] = gainLowThreshR1;
  419. assign gainLowThresholdBus [ChNum-2] = gainLowThreshR2;
  420. assign gainLowThresholdBus [ChNum-1] = gainLowThreshT2;
  421. assign gainHighThresholdBus [ChNum-4] = gainHighThreshT1;
  422. assign gainHighThresholdBus [ChNum-3] = gainHighThreshR1;
  423. assign gainHighThresholdBus [ChNum-2] = gainHighThreshR2;
  424. assign gainHighThresholdBus [ChNum-1] = gainHighThreshT2;
  425. assign AmpEn_o [3] = ~ampEnNewStates[3];
  426. assign AmpEn_o [2] = ~ampEnNewStates[2];
  427. assign AmpEn_o [1] = ~ampEnNewStates[0];
  428. assign AmpEn_o [0] = ~ampEnNewStates[1];
  429. assign Overload_o = overCtrlR;
  430. // assign Overload_o = intTrig2;
  431. //================================================================================
  432. // CODING
  433. //================================================================================
  434. integer m;
  435. always @(posedge gclk) begin
  436. stopMeasR <= stopMeas;
  437. end
  438. always @(posedge gclk) begin
  439. if (!initRst) begin
  440. dspReadyForRxReg <= DspReadyForRx_i;
  441. end else begin
  442. dspReadyForRxReg <= 1'b0;
  443. end
  444. end
  445. //--------------------------------------------------------------------------------
  446. // Data Receiving Interface
  447. //--------------------------------------------------------------------------------
  448. IBUF iob_50m_in
  449. (
  450. .I (Clk_i),
  451. .O (gclk)
  452. );
  453. Clk200Gen ClocksGenerator
  454. (
  455. .Clk_i (gclk),
  456. .Rst_i (initRst),
  457. .Clk200_o (refClk),
  458. .Clk10Timers_o (TimersClk_o),
  459. .Clk150_o (windClk150),
  460. .Locked_o (Locked200)
  461. );
  462. AdcDataInterface
  463. #(
  464. .AdcDataWidth (AdcDataWidth),
  465. .ChNum (ChNum),
  466. .Ratio (Ratio)
  467. )
  468. AdcDataInterface
  469. (
  470. .Clk_i (gclk),
  471. .RefClk_i (refClk),
  472. .Locked_i (Locked200),
  473. .Rst_i (initRst),
  474. .Adc1FclkP_i (Adc1FclkP_i),
  475. .Adc1FclkN_i (Adc1FclkN_i),
  476. .Adc1DataDa0P_i (Adc1DataDa0P_i),
  477. .Adc1DataDa0N_i (Adc1DataDa0N_i),
  478. .Adc1DataDa1P_i (Adc1DataDa1P_i),
  479. .Adc1DataDa1N_i (Adc1DataDa1N_i),
  480. .Adc1DataDb0P_i (Adc1DataDb0P_i),
  481. .Adc1DataDb0N_i (Adc1DataDb0N_i),
  482. .Adc1DataDb1P_i (Adc1DataDb1P_i),
  483. .Adc1DataDb1N_i (Adc1DataDb1N_i),
  484. .Adc2FclkP_i (Adc2FclkP_i),
  485. .Adc2FclkN_i (Adc2FclkN_i),
  486. .Adc2DataDa0P_i (Adc2DataDa0P_i),
  487. .Adc2DataDa0N_i (Adc2DataDa0N_i),
  488. .Adc2DataDa1P_i (Adc2DataDa1P_i),
  489. .Adc2DataDa1N_i (Adc2DataDa1N_i),
  490. .Adc2DataDb0P_i (Adc2DataDb0P_i),
  491. .Adc2DataDb0N_i (Adc2DataDb0N_i),
  492. .Adc2DataDb1P_i (Adc2DataDb1P_i),
  493. .Adc2DataDb1N_i (Adc2DataDb1N_i),
  494. .Adc1ChT1Data_o (adc1ChT1Data),
  495. .Adc1ChR1Data_o (adc1ChR1Data),
  496. .Adc2ChR2Data_o (adc2ChR2Data),
  497. .Adc2ChT2Data_o (adc2ChT2Data)
  498. );
  499. //--------------------------------------------------------------------------------
  500. // External DSP Interface
  501. //--------------------------------------------------------------------------------
  502. DspInterface
  503. #(
  504. .ODataWidth (LpDataWidth),
  505. .ResultWidth (ResultWidth),
  506. .ChNum (ChNum),
  507. .CmdRegWidth (CmdRegWidth),
  508. .CmdDataRegWith (CmdDataRegWith),
  509. .HeaderWidth (HeaderWidth),
  510. .DataCntWidth (DataCntWidth)
  511. )
  512. ExternalDspInterface
  513. (
  514. .Clk_i (gclk),
  515. .Rst_i (initRst),
  516. .OscWind_i (oscWind),
  517. .StartMeasDsp_i (startMeasSync),
  518. .DspReadyForRx_i (dspReadyForRxReg),
  519. .MeasNum_i ({measNum2[7:0],measNum1}),
  520. .Mosi_i (Mosi_i),
  521. .Sck_i (Sck_i),
  522. .Ss_i (Ss_i),
  523. .Mode_i (measCtrl[0]),
  524. .PortSel_i (measCtrl[23:22]),
  525. .DecimFactor_i (measCtrl[3:1]),
  526. .IfFtwL_i (ifFtwL),
  527. .IfFtwH_i (ifFtwH),
  528. .OscDataRdFlag_o (oscDataRdFlag),
  529. .Adc1ChT1Data_i (adc1ChT1Data),
  530. .Adc1ChR1Data_i (adc1ChR1Data),
  531. .Adc2ChR2Data_i (adc2ChT2Data),
  532. .Adc2ChT2Data_i (adc2ChR2Data),
  533. .Mosi_o (adcInitMosi),
  534. .Sck_o (adcInitSck),
  535. .Ss0_o (adc0InitCs),
  536. .Ss1_o (adc1InitCs),
  537. .Miso_i (Miso_i),
  538. .Miso_o (Miso_o),
  539. .CmdDataReg_o (cmdDataReg),
  540. .CmdDataVal_o (cmdDataVal),
  541. .AnsReg_i (ansReg),
  542. .AnsAddr_o (ansAddr),
  543. .LpOutFs_o (LpOutFs_o),
  544. .LpOutClk_o (LpOutClk_o),
  545. .LpOutData_o (LpOutData_o),
  546. .Adc1T1ImResult_i (adc1ImT1),
  547. .Adc1T1ReResult_i (adc1ReT1),
  548. .Adc1R1ImResult_i (adc1ImR1),
  549. .Adc1R1ReResult_i (adc1ReR1),
  550. .Adc2R2ImResult_i (adc2ImR2),
  551. .Adc2R2ReResult_i (adc2ReR2),
  552. .Adc2T2ImResult_i (adc2ImT2),
  553. .Adc2T2ReResult_i (adc2ReT2),
  554. .ServiseRegData_i (ampEnNewStates),
  555. .LpOutStart_i (measDataRdy)
  556. );
  557. //--------------------------------------------------------------------------------
  558. // Internal DSP calculation module
  559. //--------------------------------------------------------------------------------
  560. always @(posedge gclk) begin
  561. if (!initRst) begin
  562. startMeasSync <= StartMeasDsp_i;
  563. end else begin
  564. startMeasSync <= 1'b0;
  565. end
  566. end
  567. always @(posedge gclk) begin
  568. if (!initRst) begin
  569. startMeasEventReg <= StartMeasEvent_i;
  570. end else begin
  571. startMeasEventReg <= 0;
  572. end
  573. end
  574. NcoRstGen NcoRstGenInst
  575. (
  576. .Clk_i (gclk),
  577. .Rst_i (initRst),
  578. .NcoPhInc_i ({ifFtwH[0+:PhIncWidth-CmdDataRegWith],ifFtwL}),
  579. .StartMeasEvent_i (StartMeasEvent_i),
  580. .NcoRst_o (ncoRst),
  581. .StartMeasEvent_o (intTrig1)
  582. );
  583. //--------------------------------------------------------------------------------
  584. // IntTrig2 Mux
  585. //--------------------------------------------------------------------------------
  586. TrigInt2Mux
  587. #(
  588. .PGenNum (PGenNum)
  589. )
  590. InitTrig2Mux
  591. (
  592. .Rst_i (initRst),
  593. .MuxCtrl_i (muxCtrl3[23:20]),
  594. .PulseBus_i (pulseBus),
  595. .MuxOut_o (trigForIntTrig2)
  596. );
  597. //--------------------------------------------------------------------------------
  598. // MeasStartEventGen
  599. //--------------------------------------------------------------------------------
  600. MeasStartEventGen IntTrig2GenInst
  601. (
  602. .Rst_i (initRst),
  603. .Clk_i (gclk),
  604. .MeasTrig_i (trigForIntTrig2),
  605. .StartMeasDsp_i (intTrig1),
  606. .StartMeasEvent_o (),
  607. .InitTrig_o (intTrig2)
  608. );
  609. InternalDsp
  610. #(
  611. .AdcDataWidth (AdcDataWidth),
  612. .ChNum (ChNum),
  613. .ResultWidth (ResultWidth),
  614. .CmdDataRegWith (CmdDataRegWith)
  615. )
  616. InternalDsp
  617. (
  618. .Clk_i (gclk),
  619. .WindCalcClk_i (windClk150),
  620. .Rst_i (initRst),
  621. .NcoRst_i (ncoRst),
  622. .OscWind_o (oscWind),
  623. .Adc1ChT1Data_i (adc1ChT1Data), //T1
  624. .Adc1ChR1Data_i (adc1ChR1Data), //R1
  625. .Adc2ChR2Data_i (adc2ChR2Data), //R2
  626. .Adc2ChT2Data_i (adc2ChT2Data), //T2
  627. // .Adc1ChT1Data_i (AdcData_i), //T1
  628. // .Adc1ChR1Data_i (AdcData_i), //R1
  629. // .Adc2ChR2Data_i (AdcData_i), //R2
  630. // .Adc2ChT2Data_i (AdcData_i), //T2
  631. .GatingPulse_i (gatingPulse),
  632. .StartMeas_i (measStart),
  633. .StartMeasDsp_i (startMeasSync),
  634. .OscDataRdFlag_i (oscDataRdFlag),
  635. .MeasNum_i ({measNum2[7:0],measNum1}),
  636. .MeasCtrl_i (measCtrl),
  637. .FilterCorrCoefH_i (filterCorrCoefH),
  638. .FilterCorrCoefL_i (filterCorrCoefL),
  639. .CalModeEn_i (adcCtrl[1]),
  640. .CalModeDone_o (calDone),
  641. .IfFtwL_i (ifFtwL),
  642. .IfFtwH_i (ifFtwH),
  643. .NcoSin_o (ncoSin),
  644. .NcoCos_o (ncoCos),
  645. .Adc1ImT1Data_o (adc1ImT1),
  646. .Adc1ReT1Data_o (adc1ReT1),
  647. .Adc1ImR1Data_o (adc1ImR1),
  648. .Adc1ReR1Data_o (adc1ReR1),
  649. .Adc2ImR2Data_o (adc2ImR2),
  650. .Adc2ReR2Data_o (adc2ReR2),
  651. .Adc2ImT2Data_o (adc2ImT2),
  652. .Adc2ReT2Data_o (adc2ReT2),
  653. .MeasDataRdy_o (measDataRdy),
  654. .EndMeas_o (stopMeas),
  655. .MeasWind_o (measWind),
  656. .MeasEnd_o (measEnd),
  657. .SampleStrobeGenRst_o (sampleStrobeGenRst)
  658. );
  659. //--------------------------------------------------------------------------------
  660. // Reg Map With Config Registers
  661. //--------------------------------------------------------------------------------
  662. RegMap
  663. #(
  664. .CmdRegWidth (CmdRegWidth),
  665. .HeaderWidth (HeaderWidth),
  666. .CmdDataRegWith (CmdDataRegWith)
  667. )
  668. RegMapInst
  669. (
  670. .Clk_i (gclk),
  671. .Rst_i (initRst),
  672. .PGenRstDone_i (pGenRstDone),
  673. .Val_i (cmdDataVal),
  674. .CalDone_i (calDone),
  675. .Data_i (cmdDataReg),
  676. .AnsAddr_i (ansAddr),
  677. .AnsDataReg_o (ansReg),
  678. .OverCtrlReg_i (overCtrl),
  679. .GainCtrlReg_o (gainCtrl),
  680. .GainLowThreshT1Reg_o (gainLowThreshT1),
  681. .GainHighThreshT1Reg_o (gainHighThreshT1),
  682. .GainLowThreshR1Reg_o (gainLowThreshR1),
  683. .GainHighThreshR1Reg_o (gainHighThreshR1),
  684. .GainLowThreshT2Reg_o (gainLowThreshT2),
  685. .GainHighThreshT2Reg_o (gainHighThreshT2),
  686. .GainLowThreshR2Reg_o (gainLowThreshR2),
  687. .GainHighThreshR2Reg_o (gainHighThreshR2),
  688. .OverThreshReg_o (overThresh),
  689. .DitherCtrlReg_o (ditherCtrl),
  690. .MeasCtrlReg_o (measCtrl),
  691. .AdcCtrlReg_o (adcCtrl),
  692. .AdcDirectRd0Reg_o (adcDirectRd0),
  693. .AdcDirectRd1Reg_o (adcDirectRd1),
  694. .IfFtwRegL_o (ifFtwL),
  695. .IfFtwRegH_o (ifFtwH),
  696. .FilterCorrCoefRegL_o (filterCorrCoefL),
  697. .FilterCorrCoefRegH_o (filterCorrCoefH),
  698. .DspTrigInReg_o (dspTrigIn),
  699. .DspTrigOutReg_o (dspTrigOut),
  700. .DspTrigIn1Reg_o (dspTrigIn1),
  701. .DspTrigIn2Reg_o (dspTrigIn2),
  702. .DspTrigOut1Reg_o (dspTrigOut1),
  703. .DspTrigOut2Reg_o (dspTrigOut2),
  704. .PG1P1DelayReg_o (pG1P1Del),
  705. .PG1P2DelayReg_o (pG1P2Del),
  706. .PG1P3DelayReg_o (pG1P3Del),
  707. .PG1P123DelayReg_o (pG1P123Del),
  708. .PG1P1WidthReg_o (pG1P1Width),
  709. .PG1P2WidthReg_o (pG1P2Width),
  710. .PG1P3WidthReg_o (pG1P3Width),
  711. .PG1P123WidthReg_o (pG1P123Width),
  712. //PG2 Regs
  713. .PG2P1DelayReg_o (pG2P1Del),
  714. .PG2P2DelayReg_o (pG2P2Del),
  715. .PG2P3DelayReg_o (pG2P3Del),
  716. .PG2P123DelayReg_o (pG2P123Del),
  717. .PG2P1WidthReg_o (pG2P1Width),
  718. .PG2P2WidthReg_o (pG2P2Width),
  719. .PG2P3WidthReg_o (pG2P3Width),
  720. .PG2P123WidthReg_o (pG2P123Width),
  721. //PG3 Regs
  722. .PG3P1DelayReg_o (pG3P1Del),
  723. .PG3P2DelayReg_o (pG3P2Del),
  724. .PG3P3DelayReg_o (pG3P3Del),
  725. .PG3P123DelayReg_o (pG3P123Del),
  726. .PG3P1WidthReg_o (pG3P1Width),
  727. .PG3P2WidthReg_o (pG3P2Width),
  728. .PG3P3WidthReg_o (pG3P3Width),
  729. .PG3P123WidthReg_o (pG3P123Width),
  730. //PG4 Regs
  731. .PG4P1DelayReg_o (pG4P1Del),
  732. .PG4P2DelayReg_o (pG4P2Del),
  733. .PG4P3DelayReg_o (pG4P3Del),
  734. .PG4P123DelayReg_o (pG4P123Del),
  735. .PG4P1WidthReg_o (pG4P1Width),
  736. .PG4P2WidthReg_o (pG4P2Width),
  737. .PG4P3WidthReg_o (pG4P3Width),
  738. .PG4P123WidthReg_o (pG4P123Width),
  739. //PG5 Regs
  740. .PG5P1DelayReg_o (pG5P1Del),
  741. .PG5P2DelayReg_o (pG5P2Del),
  742. .PG5P3DelayReg_o (pG5P3Del),
  743. .PG5P123DelayReg_o (pG5P123Del),
  744. .PG5P1WidthReg_o (pG5P1Width),
  745. .PG5P2WidthReg_o (pG5P2Width),
  746. .PG5P3WidthReg_o (pG5P3Width),
  747. .PG5P123WidthReg_o (pG5P123Width),
  748. //PG6 Regs
  749. .PG6P1DelayReg_o (pG6P1Del),
  750. .PG6P2DelayReg_o (pG6P2Del),
  751. .PG6P3DelayReg_o (pG6P3Del),
  752. .PG6P123DelayReg_o (pG6P123Del),
  753. .PG6P1WidthReg_o (pG6P1Width),
  754. .PG6P2WidthReg_o (pG6P2Width),
  755. .PG6P3WidthReg_o (pG6P3Width),
  756. .PG6P123WidthReg_o (pG6P123Width),
  757. //PG7 Regs
  758. .PG7P1DelayReg_o (pG7P1Del),
  759. .PG7P2DelayReg_o (pG7P2Del),
  760. .PG7P3DelayReg_o (pG7P3Del),
  761. .PG7P123DelayReg_o (pG7P123Del),
  762. .PG7P1WidthReg_o (pG7P1Width),
  763. .PG7P2WidthReg_o (pG7P2Width),
  764. .PG7P3WidthReg_o (pG7P3Width),
  765. .PG7P123WidthReg_o (pG7P123Width),
  766. .MeasNum1Reg_o (measNum1),
  767. .MeasNum2Reg_o (measNum2),
  768. .PgMode0Reg_o (pgMode0),
  769. .PgMode1Reg_o (pgMode1),
  770. .MuxCtrl1Reg_o (muxCtrl1),
  771. .MuxCtrl2Reg_o (muxCtrl2),
  772. .MuxCtrl3Reg_o (muxCtrl3),
  773. .MuxCtrl4Reg_o (muxCtrl4)
  774. );
  775. //--------------------------------------------------------------------------------
  776. // Global FPGA reset generator
  777. //--------------------------------------------------------------------------------
  778. InitRst FpgaInitRst
  779. (
  780. .clk_i (gclk),
  781. .signal_o (initRst)
  782. );
  783. //--------------------------------------------------------------------------------
  784. // ADC overload detection
  785. //--------------------------------------------------------------------------------
  786. genvar i;
  787. generate
  788. for (i=0; i<ChNum; i=i+1) begin :OverControl
  789. OverloadDetect
  790. #(
  791. .ThresholdWidth (ThresholdWidth),
  792. .AdcDataWidth (AdcDataWidth),
  793. .MeasPeriod (MeasPeriod)
  794. )
  795. OverloadDetect
  796. (
  797. .Rst_i (initRst),
  798. .Clk_i (gclk),
  799. .AdcData_i (adcDataBus[i]),
  800. .OverThreshold_i (overThresh),
  801. .Overload_o (overCtrlChannels[i])
  802. );
  803. end
  804. endgenerate
  805. //--------------------------------------------------------------------------------
  806. // Gain Control module
  807. //--------------------------------------------------------------------------------
  808. genvar g;
  809. generate
  810. for (g=0; g<ChNum; g=g+1) begin :GainControl
  811. GainControlWrapper
  812. #(
  813. .AdcDataWidth (AdcDataWidth),
  814. .ThresholdWidth (ThresholdWidth),
  815. .PhIncWidth (PhIncWidth),
  816. .IfNcoOutWidth (NcoWidth),
  817. .MeasPeriod (MeasPeriod)
  818. )
  819. GainControlModule
  820. (
  821. .Rst_i (initRst),
  822. .Clk_i (gclk),
  823. .StartMeas_i (sampleStrobe),
  824. .NcoSin_i (ncoSin),
  825. .NcoCos_i (ncoCos),
  826. .AdcData_i (adcDataBus[g]),
  827. .GainLowThreshold_i (gainLowThresholdBus[g]),
  828. .GainHighThreshold_i(gainHighThresholdBus[g]),
  829. .GainAutoEn_i (gainAutoEn[g]),
  830. .GainManualState_i (gainManual[g]),
  831. .AmpEnNewState_o (ampEnNewStates[g]),
  832. .SensEn_o (sensEn[g]),
  833. .MeasStart_o (measStartBus[g])
  834. );
  835. end
  836. endgenerate
  837. StartAfterGainSel
  838. #(
  839. .ChNum (ChNum)
  840. )
  841. StartAfterGainSelInst
  842. (
  843. .Rst_i (initRst),
  844. .GainCtrl_i (gainAutoEn),
  845. .MeasStart_i (measStartBus),
  846. .MeasStart_o (measStart)
  847. );
  848. //--------------------------------------------------------------------------------
  849. // Dither Gen
  850. //--------------------------------------------------------------------------------
  851. DitherGenv2 DitherGenInst
  852. (
  853. .Rst_i (initRst),
  854. .Clk_i (gclk),
  855. .DitherCmd_i (ditherCtrl),
  856. .DitherCtrlT2R2_o (DitherCtrlCh1_o),
  857. .DitherCtrlT1R1_o (DitherCtrlCh2_o)
  858. );
  859. //--------------------------------------------------------------------------------
  860. // Pulse Meas modules
  861. //--------------------------------------------------------------------------------
  862. //--------------------------------------------------------------------------------
  863. // Pulse Gens
  864. //--------------------------------------------------------------------------------
  865. PGenRstGenerator PGenRstGen
  866. (
  867. .Rst_i (initRst),
  868. .Clk_i (gclk),
  869. .PGenRst_i (pgRstArray),
  870. .PGenRst_o (pGenRst),
  871. .RstDone_o (pGenRstDone)
  872. );
  873. genvar j;
  874. generate
  875. for (j=0; j<PGenNum; j=j+1) begin :PGen
  876. Mux
  877. #(
  878. .CmdRegWidth (CmdRegWidth),
  879. .PGenNum (PGenNum),
  880. .TrigPortsNum (TrigPortsNum)
  881. )
  882. PulseGenMux
  883. (
  884. .Rst_i (initRst),
  885. .MuxCtrl_i (pgMuxCtrlArray[j]),
  886. .DspTrigOut_i (1'b0),
  887. .DspStartCmd_i (1'b0),
  888. .IntTrig_i (intTrig1),
  889. .IntTrig2_i (intTrig2),
  890. .PulseBus_i (pulseBus),
  891. .ExtPortsBus_i (Trig6to1_io),
  892. .MuxOut_o (pgMuxedOut[j])
  893. );
  894. PulseGen
  895. #(
  896. .CmdRegWidth (CmdRegWidth)
  897. )
  898. PulseGenerator
  899. (
  900. //.Rst_i (initRst|pGenRst[j]|pGenMeasRst[j]),
  901. .Rst_i (initRst|pGenMeasRst[j]),
  902. .Clk_i (gclk),
  903. .EnPulse_i (pgMuxedOut[j]),
  904. .PulsePol_i (pgPulsePolArray[j]),
  905. .EnEdge_i (pgEnEdgeArray[j]),
  906. .Mode_i (pgModeArray[j]),
  907. .P1Del_i (pgP1DelArray[j]),
  908. .P2Del_i (pgP2DelArray[j]),
  909. .P3Del_i (pgP3DelArray[j]),
  910. .P1Width_i (pgP1WidthArray[j]),
  911. .P2Width_i (pgP2WidthArray[j]),
  912. .P3Width_i (pgP3WidthArray[j]),
  913. .Pulse_o (pulseBus[j])
  914. );
  915. end
  916. endgenerate
  917. //--------------------------------------------------------------------------------
  918. // Software Gating
  919. //--------------------------------------------------------------------------------
  920. Mux
  921. #(
  922. .CmdRegWidth (CmdRegWidth),
  923. .PGenNum (PGenNum),
  924. .TrigPortsNum (TrigPortsNum)
  925. )
  926. GatingMux
  927. (
  928. .Rst_i (initRst),
  929. .MuxCtrl_i (muxCtrl3[19:15]),
  930. .DspTrigOut_i (1'b0),
  931. .DspStartCmd_i (1'b0),
  932. .IntTrig_i (1'b0),
  933. .IntTrig2_i (1'b0),
  934. .PulseBus_i (pulseBus),
  935. .ExtPortsBus_i (),
  936. .MuxOut_o (gatingPulse)
  937. );
  938. //--------------------------------------------------------------------------------
  939. // SampleStrobeMux
  940. //--------------------------------------------------------------------------------
  941. Mux
  942. #(
  943. .CmdRegWidth (CmdRegWidth),
  944. .PGenNum (PGenNum),
  945. .TrigPortsNum (TrigPortsNum)
  946. )
  947. SampleStrobeMux
  948. (
  949. .Rst_i (initRst),
  950. .MuxCtrl_i (muxCtrl2[4:0]),
  951. .DspTrigOut_i (1'b0),
  952. .DspStartCmd_i (1'b0),
  953. .IntTrig_i (intTrig1),
  954. .IntTrig2_i (1'b0),
  955. .PulseBus_i (pulseBus),
  956. .ExtPortsBus_i (),
  957. .MuxOut_o (sampleStrobe)
  958. );
  959. //--------------------------------------------------------------------------------
  960. // SampleStrobeGenRstDemux
  961. //--------------------------------------------------------------------------------
  962. SampleStrobeGenRstDemux
  963. #(
  964. .CmdRegWidth (CmdRegWidth),
  965. .PGenNum (PGenNum),
  966. .TrigPortsNum (TrigPortsNum)
  967. )
  968. SampleStrobeGenRstDemux
  969. (
  970. .Rst_i (initRst),
  971. .MuxCtrl_i (muxCtrl2[4:0]),
  972. .GenRst_i (sampleStrobeGenRst),
  973. .RstDemuxOut_o (pGenMeasRst)
  974. );
  975. //--------------------------------------------------------------------------------
  976. // Debug led
  977. //--------------------------------------------------------------------------------
  978. always @(posedge gclk) begin
  979. if (initRst) begin
  980. testCnt <= 32'b0;
  981. end else if (testCnt != TESTCNTPARAM) begin
  982. testCnt <= testCnt+1;
  983. end else begin
  984. testCnt <= 32'd0;
  985. end
  986. end
  987. always @(posedge gclk) begin
  988. if (initRst) begin
  989. ledReg <= 1'b0;
  990. end else if ((testCnt == TESTCNTPARAM-1)) begin
  991. ledReg <= ~ledReg;
  992. end
  993. end
  994. endmodule