Kaynağa Gözat

Добавлен скрипт

Anatoliy Chigirinskiy 1 yıl önce
ebeveyn
işleme
88c270e5e4
1 değiştirilmiş dosya ile 786 ekleme ve 0 silme
  1. 786 0
      scripts/recreate.tcl

+ 786 - 0
scripts/recreate.tcl

@@ -0,0 +1,786 @@
+#*****************************************************************************************
+# Vivado (TM) v2020.2 (64-bit)
+#
+# recreate.tcl: Tcl script for re-creating project 'S5443_3'
+#
+# Generated by Vivado on Tue Jul 16 09:43:26 +0700 2024
+# IP Build 3064653 on Wed Nov 18 14:17:31 MST 2020
+#
+# This file contains the Vivado Tcl commands for re-creating the project to the state*
+# when this script was generated. In order to re-create the project, please source this
+# file in the Vivado Tcl Shell.
+#
+# * Note that the runs in the created project will be configured the same way as the
+#   original project, however they will not be launched automatically. To regenerate the
+#   run results please launch the synthesis/implementation runs as needed.
+#
+#*****************************************************************************************
+# NOTE: In order to use this script for source control purposes, please make sure that the
+#       following files are added to the source control system:-
+#
+# 1. This project restoration tcl script (recreate.tcl) that was generated.
+#
+# 2. The following source(s) files that were local or imported into the original project.
+#    (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script)
+#
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/DataFifo/DataFifoWrapper.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/S5443_3Top.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/DataFifo/FifoCtrl.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/SpiR/SPIm.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/SpiR/SPIs.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/InitRst/InitRst.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/RegMap/RegMap.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/QuadSPI/QuadSPIm.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/CDC/CDC.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/CDC/Sync1bit.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/DataFifo/RxFifoPtrSync.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/DataFifo/TxFifoPtrsync.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/QuadSPI/QuadSPIs.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/ClkDivider.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/ClkManager.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/CmdSync.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/SpiClkMux.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/MmcmClkMux.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/SmcInDataMux/SmcInDataMux.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/SpiSubSystem/SpiSubSystem.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/SpiSubSystem/SpiLinesMuxer.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/SmcAnsMux/SmcAnsMux.v"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/ip/DataFifoRx/DataFifoRx.xci"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/ip/DataFifoTx/DataFifoTx.xci"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/ip/MMCM/MMCM.xci"
+#    "C:/Projects/S5443_3/S5443_3.srcs/constrs_1/new/S5443_3.xdc"
+#    "C:/Projects/S5443_3/SRAM_tb_behav.wcfg"
+#    "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/S5443_3_tb.v"
+#    "C:/Projects/S5443_3/S5443_3.gen/sources_1/ip/DataFifoRx/simulation/fifo_generator_vlog_beh.v"
+#
+# 3. The following remote source files that were added to the original project:-
+#
+#    <none>
+#
+#*****************************************************************************************
+
+# Check file required for this script exists
+proc checkRequiredFiles { origin_dir} {
+  set status true
+  set files [list \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/DataFifo/DataFifoWrapper.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/S5443_3Top.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/DataFifo/FifoCtrl.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/SpiR/SPIm.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/SpiR/SPIs.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/InitRst/InitRst.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/RegMap/RegMap.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/QuadSPI/QuadSPIm.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/CDC/CDC.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/CDC/Sync1bit.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/DataFifo/RxFifoPtrSync.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/DataFifo/TxFifoPtrsync.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/QuadSPI/QuadSPIs.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/ClkDivider.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/ClkManager.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/CmdSync.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/SpiClkMux.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/MmcmClkMux.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/SmcInDataMux/SmcInDataMux.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/SpiSubSystem/SpiSubSystem.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/SpiSubSystem/SpiLinesMuxer.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/SmcAnsMux/SmcAnsMux.v" \
+   "C:/Projects/S5443_3/S5443_3.srcs/constrs_1/new/S5443_3.xdc" \
+   "C:/Projects/S5443_3/SRAM_tb_behav.wcfg" \
+   "C:/Projects/S5443_3/S5443_3.srcs/sources_1/new/S5443_3_tb.v" \
+   "C:/Projects/S5443_3/S5443_3.gen/sources_1/ip/DataFifoRx/simulation/fifo_generator_vlog_beh.v" \
+  ]
+  foreach ifile $files {
+    if { ![file isfile $ifile] } {
+      puts " Could not find local file $ifile "
+      set status false
+    }
+  }
+
+  return $status
+}
+# Set the reference directory for source file relative paths (by default the value is script directory path)
+set origin_dir "C:/"
+
+# Use origin directory path location variable, if specified in the tcl shell
+if { [info exists ::origin_dir_loc] } {
+  set origin_dir $::origin_dir_loc
+}
+
+# Set the project name
+set _xil_proj_name_ "S5443_3"
+
+# Use project name variable, if specified in the tcl shell
+if { [info exists ::user_project_name] } {
+  set _xil_proj_name_ $::user_project_name
+}
+
+variable script_file
+set script_file "recreate.tcl"
+
+# Help information for this script
+proc print_help {} {
+  variable script_file
+  puts "\nDescription:"
+  puts "Recreate a Vivado project from this script. The created project will be"
+  puts "functionally equivalent to the original project for which this script was"
+  puts "generated. The script contains commands for creating a project, filesets,"
+  puts "runs, adding/importing sources and setting properties on various objects.\n"
+  puts "Syntax:"
+  puts "$script_file"
+  puts "$script_file -tclargs \[--origin_dir <path>\]"
+  puts "$script_file -tclargs \[--project_name <name>\]"
+  puts "$script_file -tclargs \[--help\]\n"
+  puts "Usage:"
+  puts "Name                   Description"
+  puts "-------------------------------------------------------------------------"
+  puts "\[--origin_dir <path>\]  Determine source file paths wrt this path. Default"
+  puts "                       origin_dir path value is \".\", otherwise, the value"
+  puts "                       that was set with the \"-paths_relative_to\" switch"
+  puts "                       when this script was generated.\n"
+  puts "\[--project_name <name>\] Create project with the specified name. Default"
+  puts "                       name is the name of the project from where this"
+  puts "                       script was generated.\n"
+  puts "\[--help\]               Print help information for this script"
+  puts "-------------------------------------------------------------------------\n"
+  exit 0
+}
+
+if { $::argc > 0 } {
+  for {set i 0} {$i < $::argc} {incr i} {
+    set option [string trim [lindex $::argv $i]]
+    switch -regexp -- $option {
+      "--origin_dir"   { incr i; set origin_dir [lindex $::argv $i] }
+      "--project_name" { incr i; set _xil_proj_name_ [lindex $::argv $i] }
+      "--help"         { print_help }
+      default {
+        if { [regexp {^-} $option] } {
+          puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
+          return 1
+        }
+      }
+    }
+  }
+}
+
+# Set the directory path for the original project from where this script was exported
+set orig_proj_dir "[file normalize "$origin_dir/Projects/S5443_3"]"
+
+# Check for paths and files needed for project creation
+set validate_required 0
+if { $validate_required } {
+  if { [checkRequiredFiles $origin_dir] } {
+    puts "Tcl file $script_file is valid. All files required for project creation is accesable. "
+  } else {
+    puts "Tcl file $script_file is not valid. Not all files required for project creation is accesable. "
+    return
+  }
+}
+
+# Create project
+create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xc7s25csga225-2
+
+# Set the directory path for the new project
+set proj_dir [get_property directory [current_project]]
+
+# Set project properties
+set obj [current_project]
+set_property -name "default_lib" -value "xil_defaultlib" -objects $obj
+set_property -name "enable_vhdl_2008" -value "1" -objects $obj
+set_property -name "ip_cache_permissions" -value "read write" -objects $obj
+set_property -name "ip_output_repo" -value "$proj_dir/${_xil_proj_name_}.cache/ip" -objects $obj
+set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj
+set_property -name "part" -value "xc7s25csga225-2" -objects $obj
+set_property -name "sim.central_dir" -value "$proj_dir/${_xil_proj_name_}.ip_user_files" -objects $obj
+set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
+set_property -name "simulator_language" -value "Mixed" -objects $obj
+set_property -name "source_mgmt_mode" -value "DisplayOnly" -objects $obj
+set_property -name "webtalk.activehdl_export_sim" -value "79" -objects $obj
+set_property -name "webtalk.ies_export_sim" -value "79" -objects $obj
+set_property -name "webtalk.modelsim_export_sim" -value "79" -objects $obj
+set_property -name "webtalk.questa_export_sim" -value "79" -objects $obj
+set_property -name "webtalk.questa_launch_sim" -value "4" -objects $obj
+set_property -name "webtalk.riviera_export_sim" -value "79" -objects $obj
+set_property -name "webtalk.vcs_export_sim" -value "79" -objects $obj
+set_property -name "webtalk.xsim_export_sim" -value "79" -objects $obj
+set_property -name "webtalk.xsim_launch_sim" -value "1006" -objects $obj
+set_property -name "xpm_libraries" -value "XPM_CDC XPM_MEMORY" -objects $obj
+
+# Create 'sources_1' fileset (if not found)
+if {[string equal [get_filesets -quiet sources_1] ""]} {
+  create_fileset -srcset sources_1
+}
+
+# Set 'sources_1' fileset object
+set obj [get_filesets sources_1]
+# Import local files from the original project
+set files [list \
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/DataFifo/DataFifoWrapper.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/S5443_3Top.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/DataFifo/FifoCtrl.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/SpiR/SPIm.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/SpiR/SPIs.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/InitRst/InitRst.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/RegMap/RegMap.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/QuadSPI/QuadSPIm.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/CDC/Cdc.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/CDC/Sync1bit.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/DataFifo/RxFifoPtrSync.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/DataFifo/TxFifoPtrsync.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/QuadSPI/QuadSPIs.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/ClkDivider.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/ClkManager.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/CmdSync.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/SpiClkMux.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/ClkManager/MmcmClkMux.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/SmcInDataMux/SmcInDataMux.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/SpiSubSystem/SpiSubSystem.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/SpiSubSystem/SpiLinesMuxer.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/SmcAnsMux/SmcAnsMux.v" ]\
+]
+set imported_files [import_files -fileset sources_1 $files]
+
+# Set 'sources_1' fileset file properties for remote files
+# None
+
+# Set 'sources_1' fileset properties
+set obj [get_filesets sources_1]
+set_property -name "top" -value "S5443_3Top" -objects $obj
+set_property -name "top_auto_set" -value "0" -objects $obj
+
+# Create 'constrs_1' fileset (if not found)
+if {[string equal [get_filesets -quiet constrs_1] ""]} {
+  create_fileset -constrset constrs_1
+}
+
+# Set 'constrs_1' fileset object
+set obj [get_filesets constrs_1]
+
+# Add/Import constrs file and set constrs file properties
+set file "[file normalize "$origin_dir/Projects/S5443_3/S5443_3.srcs/constrs_1/new/S5443_3.xdc"]"
+set file_imported [import_files -fileset constrs_1 [list $file]]
+set file "new/S5443_3.xdc"
+set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
+set_property -name "file_type" -value "XDC" -objects $file_obj
+
+# Set 'constrs_1' fileset properties
+set obj [get_filesets constrs_1]
+set_property -name "target_constrs_file" -value "[get_files *new/S5443_3.xdc]" -objects $obj
+set_property -name "target_part" -value "xc7s25csga225-2" -objects $obj
+set_property -name "target_ucf" -value "[get_files *new/S5443_3.xdc]" -objects $obj
+
+# Create 'sim_1' fileset (if not found)
+if {[string equal [get_filesets -quiet sim_1] ""]} {
+  create_fileset -simset sim_1
+}
+
+# Set 'sim_1' fileset object
+set obj [get_filesets sim_1]
+# Import local files from the original project
+set files [list \
+ [file normalize "${origin_dir}/Projects/S5443_3/SRAM_tb_behav.wcfg" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.srcs/sources_1/new/S5443_3_tb.v" ]\
+ [file normalize "${origin_dir}/Projects/S5443_3/S5443_3.gen/sources_1/ip/DataFifoRx/simulation/fifo_generator_vlog_beh.v" ]\
+]
+set imported_files [import_files -fileset sim_1 $files]
+
+# Set 'sim_1' fileset file properties for remote files
+# None
+
+# Set 'sim_1' fileset file properties for local files
+# None
+
+# Set 'sim_1' fileset properties
+set obj [get_filesets sim_1]
+set_property -name "hbs.configure_design_for_hier_access" -value "1" -objects $obj
+set_property -name "nl.mode" -value "funcsim" -objects $obj
+set_property -name "top" -value "S5443_3_tb" -objects $obj
+set_property -name "top_auto_set" -value "0" -objects $obj
+set_property -name "top_lib" -value "xil_defaultlib" -objects $obj
+
+# Set 'utils_1' fileset object
+set obj [get_filesets utils_1]
+# Empty (no sources present)
+
+# Set 'utils_1' fileset properties
+set obj [get_filesets utils_1]
+
+# Create 'synth_1' run (if not found)
+if {[string equal [get_runs -quiet synth_1] ""]} {
+    create_run -name synth_1 -part xc7s25csga225-2 -flow {Vivado Synthesis 2020} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1
+} else {
+  set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
+  set_property flow "Vivado Synthesis 2020" [get_runs synth_1]
+}
+set obj [get_runs synth_1]
+set_property set_report_strategy_name 1 $obj
+set_property report_strategy {Vivado Synthesis Default Reports} $obj
+set_property set_report_strategy_name 0 $obj
+# Create 'synth_1_synth_report_utilization_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } {
+  create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1
+}
+set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0]
+if { $obj != "" } {
+
+}
+set obj [get_runs synth_1]
+set_property -name "part" -value "xc7s25csga225-2" -objects $obj
+set_property -name "auto_incremental_checkpoint.directory" -value "$proj_dir/S5443_3/S5443_3.srcs/utils_1/imports/synth_1" -objects $obj
+set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj
+set_property -name "steps.synth_design.args.flatten_hierarchy" -value "none" -objects $obj
+set_property -name "steps.synth_design.args.gated_clock_conversion" -value "on" -objects $obj
+
+# set the current synth run
+current_run -synthesis [get_runs synth_1]
+
+# Create 'impl_1' run (if not found)
+if {[string equal [get_runs -quiet impl_1] ""]} {
+    create_run -name impl_1 -part xc7s25csga225-2 -flow {Vivado Implementation 2020} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1
+} else {
+  set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
+  set_property flow "Vivado Implementation 2020" [get_runs impl_1]
+}
+set obj [get_runs impl_1]
+set_property set_report_strategy_name 1 $obj
+set_property report_strategy {Vivado Implementation Default Reports} $obj
+set_property set_report_strategy_name 0 $obj
+# Create 'impl_1_init_report_timing_summary_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } {
+  create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0]
+if { $obj != "" } {
+set_property -name "is_enabled" -value "0" -objects $obj
+set_property -name "options.max_paths" -value "10" -objects $obj
+
+}
+# Create 'impl_1_opt_report_drc_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } {
+  create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0]
+if { $obj != "" } {
+
+}
+# Create 'impl_1_opt_report_timing_summary_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } {
+  create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0]
+if { $obj != "" } {
+set_property -name "is_enabled" -value "0" -objects $obj
+set_property -name "options.max_paths" -value "10" -objects $obj
+
+}
+# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } {
+  create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0]
+if { $obj != "" } {
+set_property -name "is_enabled" -value "0" -objects $obj
+set_property -name "options.max_paths" -value "10" -objects $obj
+
+}
+# Create 'impl_1_place_report_io_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } {
+  create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0]
+if { $obj != "" } {
+
+}
+# Create 'impl_1_place_report_utilization_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } {
+  create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0]
+if { $obj != "" } {
+
+}
+# Create 'impl_1_place_report_control_sets_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } {
+  create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0]
+if { $obj != "" } {
+set_property -name "options.verbose" -value "1" -objects $obj
+
+}
+# Create 'impl_1_place_report_incremental_reuse_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } {
+  create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0]
+if { $obj != "" } {
+set_property -name "is_enabled" -value "0" -objects $obj
+
+}
+# Create 'impl_1_place_report_incremental_reuse_1' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } {
+  create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1]
+if { $obj != "" } {
+set_property -name "is_enabled" -value "0" -objects $obj
+
+}
+# Create 'impl_1_place_report_timing_summary_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } {
+  create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0]
+if { $obj != "" } {
+set_property -name "is_enabled" -value "0" -objects $obj
+set_property -name "options.max_paths" -value "10" -objects $obj
+
+}
+# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } {
+  create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0]
+if { $obj != "" } {
+set_property -name "is_enabled" -value "0" -objects $obj
+set_property -name "options.max_paths" -value "10" -objects $obj
+
+}
+# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } {
+  create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0]
+if { $obj != "" } {
+set_property -name "is_enabled" -value "0" -objects $obj
+set_property -name "options.max_paths" -value "10" -objects $obj
+
+}
+# Create 'impl_1_route_report_drc_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } {
+  create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0]
+if { $obj != "" } {
+
+}
+# Create 'impl_1_route_report_methodology_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } {
+  create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0]
+if { $obj != "" } {
+
+}
+# Create 'impl_1_route_report_power_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } {
+  create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0]
+if { $obj != "" } {
+
+}
+# Create 'impl_1_route_report_route_status_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } {
+  create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0]
+if { $obj != "" } {
+
+}
+# Create 'impl_1_route_report_timing_summary_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } {
+  create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0]
+if { $obj != "" } {
+set_property -name "options.max_paths" -value "10" -objects $obj
+
+}
+# Create 'impl_1_route_report_incremental_reuse_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } {
+  create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0]
+if { $obj != "" } {
+
+}
+# Create 'impl_1_route_report_clock_utilization_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } {
+  create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0]
+if { $obj != "" } {
+
+}
+# Create 'impl_1_route_report_bus_skew_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] "" ] } {
+  create_report_config -report_name impl_1_route_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps route_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0]
+if { $obj != "" } {
+set_property -name "options.warn_on_violation" -value "1" -objects $obj
+
+}
+# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } {
+  create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0]
+if { $obj != "" } {
+set_property -name "options.max_paths" -value "10" -objects $obj
+set_property -name "options.warn_on_violation" -value "1" -objects $obj
+
+}
+# Create 'impl_1_post_route_phys_opt_report_bus_skew_0' report (if not found)
+if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] "" ] } {
+  create_report_config -report_name impl_1_post_route_phys_opt_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps post_route_phys_opt_design -runs impl_1
+}
+set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0]
+if { $obj != "" } {
+set_property -name "options.warn_on_violation" -value "1" -objects $obj
+
+}
+set obj [get_runs impl_1]
+set_property -name "part" -value "xc7s25csga225-2" -objects $obj
+set_property -name "auto_incremental_checkpoint.directory" -value "$proj_dir/S5443_3/S5443_3.srcs/utils_1/imports/impl_1" -objects $obj
+set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj
+set_property -name "steps.post_route_phys_opt_design.args.directive" -value "AddRetime" -objects $obj
+set_property -name "steps.write_bitstream.args.bin_file" -value "1" -objects $obj
+set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj
+set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj
+
+# set the current impl run
+current_run -implementation [get_runs impl_1]
+
+puts "INFO: Project created:${_xil_proj_name_}"
+# Create 'drc_1' gadget (if not found)
+if {[string equal [get_dashboard_gadgets  [ list "drc_1" ] ] ""]} {
+create_dashboard_gadget -name {drc_1} -type drc
+}
+set obj [get_dashboard_gadgets [ list "drc_1" ] ]
+set_property -name "reports" -value "impl_1#impl_1_route_report_drc_0" -objects $obj
+
+# Create 'methodology_1' gadget (if not found)
+if {[string equal [get_dashboard_gadgets  [ list "methodology_1" ] ] ""]} {
+create_dashboard_gadget -name {methodology_1} -type methodology
+}
+set obj [get_dashboard_gadgets [ list "methodology_1" ] ]
+set_property -name "reports" -value "impl_1#impl_1_route_report_methodology_0" -objects $obj
+
+# Create 'power_1' gadget (if not found)
+if {[string equal [get_dashboard_gadgets  [ list "power_1" ] ] ""]} {
+create_dashboard_gadget -name {power_1} -type power
+}
+set obj [get_dashboard_gadgets [ list "power_1" ] ]
+set_property -name "reports" -value "impl_1#impl_1_route_report_power_0" -objects $obj
+
+# Create 'timing_1' gadget (if not found)
+if {[string equal [get_dashboard_gadgets  [ list "timing_1" ] ] ""]} {
+create_dashboard_gadget -name {timing_1} -type timing
+}
+set obj [get_dashboard_gadgets [ list "timing_1" ] ]
+set_property -name "reports" -value "impl_1#impl_1_route_report_timing_summary_0" -objects $obj
+
+# Create 'utilization_1' gadget (if not found)
+if {[string equal [get_dashboard_gadgets  [ list "utilization_1" ] ] ""]} {
+create_dashboard_gadget -name {utilization_1} -type utilization
+}
+set obj [get_dashboard_gadgets [ list "utilization_1" ] ]
+set_property -name "reports" -value "synth_1#synth_1_synth_report_utilization_0" -objects $obj
+set_property -name "run.step" -value "synth_design" -objects $obj
+set_property -name "run.type" -value "synthesis" -objects $obj
+
+# Create 'utilization_2' gadget (if not found)
+if {[string equal [get_dashboard_gadgets  [ list "utilization_2" ] ] ""]} {
+create_dashboard_gadget -name {utilization_2} -type utilization
+}
+set obj [get_dashboard_gadgets [ list "utilization_2" ] ]
+set_property -name "reports" -value "impl_1#impl_1_place_report_utilization_0" -objects $obj
+
+move_dashboard_gadget -name {utilization_1} -row 0 -col 0
+move_dashboard_gadget -name {power_1} -row 1 -col 0
+move_dashboard_gadget -name {drc_1} -row 2 -col 0
+move_dashboard_gadget -name {timing_1} -row 0 -col 1
+move_dashboard_gadget -name {utilization_2} -row 1 -col 1
+move_dashboard_gadget -name {methodology_1} -row 2 -col 1
+
+##################################################################
+# CHECK VIVADO VERSION
+##################################################################
+
+set scripts_vivado_version 2020.2
+set current_vivado_version [version -short]
+
+if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
+  catch {common::send_msg_id "IPS_TCL-100" "ERROR" "This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_ip_tcl to create an updated script."}
+  return 1
+}
+
+##################################################################
+# START
+##################################################################
+
+# To test this script, run the following commands from Vivado Tcl console:
+# source recreateIp.tcl
+# If there is no project opened, this script will create a
+# project, but make sure you do not have an existing project
+# <./S5443_3/S5443_3.xpr> in the current working folder.
+
+set list_projs [get_projects -quiet]
+if { $list_projs eq "" } {
+  create_project S5443_3 S5443_3 -part xc7s25csga225-2
+  set_property target_language Verilog [current_project]
+  set_property simulator_language Mixed [current_project]
+}
+
+##################################################################
+# CHECK IPs
+##################################################################
+
+set bCheckIPs 1
+set bCheckIPsPassed 1
+if { $bCheckIPs == 1 } {
+  set list_check_ips { xilinx.com:ip:fifo_generator:13.2 xilinx.com:ip:clk_wiz:6.0 }
+  set list_ips_missing ""
+  common::send_msg_id "IPS_TCL-1001" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
+
+  foreach ip_vlnv $list_check_ips {
+  set ip_obj [get_ipdefs -all $ip_vlnv]
+  if { $ip_obj eq "" } {
+    lappend list_ips_missing $ip_vlnv
+    }
+  }
+
+  if { $list_ips_missing ne "" } {
+    catch {common::send_msg_id "IPS_TCL-105" "ERROR" "The following IPs are not found in the IP Catalog:\n  $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
+    set bCheckIPsPassed 0
+  }
+}
+
+if { $bCheckIPsPassed != 1 } {
+  common::send_msg_id "IPS_TCL-102" "WARNING" "Will not continue with creation of design due to the error(s) above."
+  return 1
+}
+
+##################################################################
+# CREATE IP DataFifoRx
+##################################################################
+
+set DataFifoRx [create_ip -name fifo_generator -vendor xilinx.com -library ip -version 13.2 -module_name DataFifoRx]
+
+set_property -dict { 
+  CONFIG.Fifo_Implementation {Independent_Clocks_Builtin_FIFO}
+  CONFIG.Performance_Options {First_Word_Fall_Through}
+  CONFIG.Input_Data_Width {32}
+  CONFIG.Input_Depth {512}
+  CONFIG.Output_Data_Width {32}
+  CONFIG.Output_Depth {512}
+  CONFIG.Enable_ECC {false}
+  CONFIG.Reset_Type {Asynchronous_Reset}
+  CONFIG.Full_Flags_Reset_Value {0}
+  CONFIG.Use_Dout_Reset {false}
+  CONFIG.Data_Count_Width {9}
+  CONFIG.Write_Data_Count_Width {9}
+  CONFIG.Read_Data_Count_Width {9}
+  CONFIG.Read_Clock_Frequency {123}
+  CONFIG.Write_Clock_Frequency {100}
+  CONFIG.Full_Threshold_Assert_Value {503}
+  CONFIG.Full_Threshold_Negate_Value {502}
+  CONFIG.Empty_Threshold_Assert_Value {6}
+  CONFIG.Empty_Threshold_Negate_Value {7}
+  CONFIG.Enable_Safety_Circuit {false}
+} [get_ips DataFifoRx]
+
+set_property -dict { 
+  GENERATE_SYNTH_CHECKPOINT {1}
+} $DataFifoRx
+
+##################################################################
+
+##################################################################
+# CREATE IP DataFifoTx
+##################################################################
+
+set DataFifoTx [create_ip -name fifo_generator -vendor xilinx.com -library ip -version 13.2 -module_name DataFifoTx]
+
+set_property -dict { 
+  CONFIG.Fifo_Implementation {Independent_Clocks_Builtin_FIFO}
+  CONFIG.Performance_Options {First_Word_Fall_Through}
+  CONFIG.Input_Data_Width {32}
+  CONFIG.Input_Depth {512}
+  CONFIG.Output_Data_Width {32}
+  CONFIG.Output_Depth {512}
+  CONFIG.Reset_Type {Asynchronous_Reset}
+  CONFIG.Use_Dout_Reset {false}
+  CONFIG.Data_Count_Width {9}
+  CONFIG.Write_Data_Count_Width {9}
+  CONFIG.Read_Data_Count_Width {9}
+  CONFIG.Read_Clock_Frequency {1}
+  CONFIG.Write_Clock_Frequency {1}
+  CONFIG.Full_Threshold_Assert_Value {505}
+  CONFIG.Full_Threshold_Negate_Value {504}
+  CONFIG.Empty_Threshold_Assert_Value {6}
+  CONFIG.Empty_Threshold_Negate_Value {7}
+} [get_ips DataFifoTx]
+
+set_property -dict { 
+  GENERATE_SYNTH_CHECKPOINT {1}
+} $DataFifoTx
+
+##################################################################
+
+##################################################################
+# CREATE IP MMCM
+##################################################################
+
+set MMCM [create_ip -name clk_wiz -vendor xilinx.com -library ip -version 6.0 -module_name MMCM]
+
+set_property -dict { 
+  CONFIG.PRIM_IN_FREQ {123.000}
+  CONFIG.CLKIN1_JITTER_PS {81.30000000000001}
+  CONFIG.CLKOUT2_USED {true}
+  CONFIG.CLKOUT3_USED {true}
+  CONFIG.CLKOUT4_USED {true}
+  CONFIG.CLKOUT5_USED {true}
+  CONFIG.CLKOUT6_USED {true}
+  CONFIG.CLKOUT7_USED {true}
+  CONFIG.NUM_OUT_CLKS {7}
+  CONFIG.CLKOUT2_REQUESTED_OUT_FREQ {80.000}
+  CONFIG.CLKOUT3_REQUESTED_OUT_FREQ {70.000}
+  CONFIG.CLKOUT4_REQUESTED_OUT_FREQ {60.000}
+  CONFIG.CLKOUT5_REQUESTED_OUT_FREQ {50.000}
+  CONFIG.CLKOUT6_REQUESTED_OUT_FREQ {40.000}
+  CONFIG.CLKOUT7_REQUESTED_OUT_FREQ {30.000}
+  CONFIG.MMCM_DIVCLK_DIVIDE {1}
+  CONFIG.MMCM_CLKFBOUT_MULT_F {9.750}
+  CONFIG.MMCM_CLKIN1_PERIOD {8.130}
+  CONFIG.MMCM_CLKOUT0_DIVIDE_F {12.000}
+  CONFIG.MMCM_CLKOUT1_DIVIDE {15}
+  CONFIG.MMCM_CLKOUT2_DIVIDE {17}
+  CONFIG.MMCM_CLKOUT3_DIVIDE {20}
+  CONFIG.MMCM_CLKOUT4_DIVIDE {24}
+  CONFIG.MMCM_CLKOUT5_DIVIDE {30}
+  CONFIG.MMCM_CLKOUT6_DIVIDE {40}
+  CONFIG.CLKOUT1_JITTER {112.035}
+  CONFIG.CLKOUT1_PHASE_ERROR {85.478}
+  CONFIG.CLKOUT2_JITTER {116.822}
+  CONFIG.CLKOUT2_PHASE_ERROR {85.478}
+  CONFIG.CLKOUT3_JITTER {119.640}
+  CONFIG.CLKOUT3_PHASE_ERROR {85.478}
+  CONFIG.CLKOUT4_JITTER {123.604}
+  CONFIG.CLKOUT4_PHASE_ERROR {85.478}
+  CONFIG.CLKOUT5_JITTER {128.250}
+  CONFIG.CLKOUT5_PHASE_ERROR {85.478}
+  CONFIG.CLKOUT6_JITTER {134.251}
+  CONFIG.CLKOUT6_PHASE_ERROR {85.478}
+  CONFIG.CLKOUT7_JITTER {142.568}
+  CONFIG.CLKOUT7_PHASE_ERROR {85.478}
+} [get_ips MMCM]
+
+set_property -dict { 
+  GENERATE_SYNTH_CHECKPOINT {1}
+} $MMCM
+
+##################################################################
+