QuadSPIm.v 23 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709
  1. module QuadSPIm(
  2. input Clk_i,
  3. input Rst_i,
  4. input Start_i,
  5. input CPHA_i,
  6. input [31:0] SPIdata,
  7. input SpiDataVal_i,
  8. input SELST_i,
  9. input [1:0] WidthSel_i,
  10. input LAG_i,
  11. input LEAD_i,
  12. input EndianSel_i,
  13. input [5:0] Stop_i,
  14. input PulsePol_i,
  15. output reg Mosi0_i,
  16. output reg Mosi1_i,
  17. output reg Mosi2_i,
  18. output reg Mosi3_i,
  19. output reg Sck_o,
  20. output Val_o,
  21. output Ss_o
  22. );
  23. //================================================================================
  24. // REG/WIRE
  25. //================================================================================
  26. reg startFlag;
  27. reg startR;
  28. reg [31:0] trCnt;
  29. reg valReg;
  30. reg valToRxFifo1;
  31. reg [5:0] ssCnt;
  32. reg Ss;
  33. reg SSr;
  34. reg [7:0] mosiReg0;
  35. reg [7:0] mosiReg1;
  36. reg [7:0] mosiReg2;
  37. reg [7:0] mosiReg3;
  38. reg [3:0] ssNum;
  39. reg [2:0] delayCnt;
  40. reg stopFlag;
  41. wire SsPol = SELST_i ? Ss : ~Ss;
  42. //================================================================================
  43. // ASSIGNMENTS
  44. //================================================================================
  45. assign Ss_o = Ss;
  46. assign Val_o = (trCnt < 1 ) ?valToRxFifo1:valReg;
  47. //================================================================================
  48. // CODING
  49. //================================================================================
  50. always @(posedge Clk_i) begin
  51. startR <= Start_i;
  52. end
  53. always @(*) begin
  54. if (Rst_i) begin
  55. valToRxFifo1 = 1'b0;
  56. end
  57. else begin
  58. if (Start_i && !startR) begin
  59. valToRxFifo1 = 1'b1;
  60. end
  61. else begin
  62. valToRxFifo1 = 1'b0;
  63. end
  64. end
  65. end
  66. always @(posedge Clk_i) begin
  67. if (Rst_i) begin
  68. trCnt <= 1'b0;
  69. end
  70. else begin
  71. if ( (ssNum + LEAD_i + LAG_i)-1) begin
  72. trCnt <= trCnt + 1'b1;
  73. end
  74. end
  75. end
  76. always @(posedge Clk_i) begin
  77. if (Rst_i) begin
  78. delayCnt <= 1'b0;
  79. end
  80. else begin
  81. if (stopFlag &&delayCnt < Stop_i) begin
  82. delayCnt <= delayCnt + 1'b1;
  83. end
  84. else begin
  85. delayCnt <= 1'b0;
  86. end
  87. end
  88. end
  89. always @(posedge Clk_i) begin
  90. if (Rst_i) begin
  91. stopFlag <= 1'b0;
  92. end
  93. else begin
  94. if (SELST_i) begin
  95. if (Ss && !SSr) begin
  96. stopFlag <= 1'b1;
  97. end
  98. else if ( delayCnt == Stop_i) begin
  99. stopFlag <= 1'b0;
  100. end
  101. end
  102. else begin
  103. if (!Ss && SSr) begin
  104. stopFlag <= 1'b1;
  105. end
  106. else if (delayCnt == Stop_i) begin
  107. stopFlag <= 1'b0;
  108. end
  109. end
  110. end
  111. end
  112. always @(*) begin
  113. if (SELST_i) begin
  114. if (PulsePol_i) begin
  115. if (CPHA_i) begin
  116. if (LEAD_i == 0) begin
  117. if (!Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  118. Sck_o = ~(~Clk_i);
  119. end
  120. else begin
  121. Sck_o = 1'b0;
  122. end
  123. end
  124. else begin
  125. if (!Ss && (ssCnt < ssNum+LAG_i+LEAD_i && ssCnt > LAG_i)) begin
  126. Sck_o = ~(~Clk_i);
  127. end
  128. else begin
  129. Sck_o = 1'b0;
  130. end
  131. end
  132. end
  133. else begin
  134. if (LEAD_i == 0) begin
  135. if (!Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  136. Sck_o = ~(Clk_i);
  137. end
  138. else begin
  139. Sck_o = 1'b0;
  140. end
  141. end
  142. else begin
  143. if (!Ss && (ssCnt < ssNum + LAG_i + LEAD_i && ssCnt > LAG_i)) begin
  144. Sck_o = ~(Clk_i);
  145. end
  146. else begin
  147. Sck_o = 1'b0;
  148. end
  149. end
  150. end
  151. end
  152. else begin
  153. if (CPHA_i) begin
  154. if (LEAD_i == 0) begin
  155. if (!Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  156. Sck_o = ~(Clk_i);
  157. end
  158. else begin
  159. Sck_o = 1'b0;
  160. end
  161. end
  162. else begin
  163. if (!Ss && (ssCnt <ssNum + LAG_i + LAG_i && ssCnt > LAG_i)) begin
  164. Sck_o = ~(Clk_i);
  165. end
  166. else begin
  167. Sck_o = 1'b0;
  168. end
  169. end
  170. end
  171. else begin
  172. if (LEAD_i == 0) begin
  173. if (!Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  174. Sck_o = ~(~Clk_i);
  175. end
  176. else begin
  177. Sck_o = 1'b0;
  178. end
  179. end
  180. else begin
  181. if (!Ss && (ssCnt < ssNum + LAG_i + LEAD_i && ssCnt > LAG_i)) begin
  182. Sck_o = ~(~Clk_i);
  183. end
  184. else begin
  185. Sck_o = 1'b0;
  186. end
  187. end
  188. end
  189. end
  190. end
  191. else begin
  192. if (PulsePol_i) begin
  193. if (CPHA_i) begin
  194. if (LEAD_i == 0) begin
  195. if (Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  196. Sck_o = ~(~Clk_i);
  197. end
  198. else begin
  199. Sck_o = 1'b0;
  200. end
  201. end
  202. else begin
  203. if (Ss && (ssCnt < ssNum+LAG_i+LEAD_i && ssCnt > LAG_i)) begin
  204. Sck_o = ~(~Clk_i);
  205. end
  206. else begin
  207. Sck_o = 1'b0;
  208. end
  209. end
  210. end
  211. else begin
  212. if (LEAD_i == 0) begin
  213. if (Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  214. Sck_o = ~(Clk_i);
  215. end
  216. else begin
  217. Sck_o = 1'b0;
  218. end
  219. end
  220. else begin
  221. if (Ss && (ssCnt < ssNum + LAG_i + LEAD_i && ssCnt > LAG_i)) begin
  222. Sck_o = ~(Clk_i);
  223. end
  224. else begin
  225. Sck_o = 1'b0;
  226. end
  227. end
  228. end
  229. end
  230. else begin
  231. if (CPHA_i) begin
  232. if (LEAD_i == 0) begin
  233. if (Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  234. Sck_o = ~(Clk_i);
  235. end
  236. else begin
  237. Sck_o = 1'b0;
  238. end
  239. end
  240. else begin
  241. if (Ss && (ssCnt <ssNum + LAG_i + LAG_i && ssCnt > LAG_i)) begin
  242. Sck_o = ~(Clk_i);
  243. end
  244. else begin
  245. Sck_o = 1'b0;
  246. end
  247. end
  248. end
  249. else begin
  250. if (LEAD_i == 0) begin
  251. if (Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  252. Sck_o = ~(~Clk_i);
  253. end
  254. else begin
  255. Sck_o = 1'b0;
  256. end
  257. end
  258. else begin
  259. if (Ss && (ssCnt < ssNum + LAG_i + LEAD_i && ssCnt > LAG_i)) begin
  260. Sck_o = ~(~Clk_i);
  261. end
  262. else begin
  263. Sck_o = 1'b0;
  264. end
  265. end
  266. end
  267. end
  268. end
  269. end
  270. always @(*) begin
  271. if (SELST_i) begin
  272. if (EndianSel_i) begin
  273. case (WidthSel_i)
  274. 0 : begin
  275. Mosi0_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i) ) ? (mosiReg0[0]):1'b0;
  276. Mosi1_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  277. Mosi2_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  278. Mosi3_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  279. end
  280. 1 : begin
  281. Mosi0_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg0[0]):1'b0;
  282. Mosi1_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  283. Mosi2_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  284. Mosi3_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  285. end
  286. 2 : begin
  287. Mosi0_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg0[0]):1'b0;
  288. Mosi1_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  289. Mosi2_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  290. Mosi3_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  291. end
  292. 3 : begin
  293. Mosi0_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg0[0]):1'b0;
  294. Mosi1_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  295. Mosi2_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  296. Mosi3_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  297. end
  298. endcase
  299. end
  300. else begin
  301. case (WidthSel_i)
  302. 0 : begin
  303. Mosi0_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[1]):1'b0;
  304. Mosi1_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[1]):1'b0;
  305. Mosi2_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[1]):1'b0;
  306. Mosi3_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg3[1]):1'b0;
  307. end
  308. 1 : begin
  309. Mosi0_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[3]):1'b0;
  310. Mosi1_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[3]):1'b0;
  311. Mosi2_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[3]):1'b0;
  312. Mosi3_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt >LAG_i))? (mosiReg3[3]):1'b0;
  313. end
  314. 2 : begin
  315. Mosi0_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[5]):1'b0;
  316. Mosi1_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[5]):1'b0;
  317. Mosi2_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[5]):1'b0;
  318. Mosi3_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg3[5]):1'b0;
  319. end
  320. 3 : begin
  321. Mosi0_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[7]):1'b0;
  322. Mosi1_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[7]):1'b0;
  323. Mosi2_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[7]):1'b0;
  324. Mosi3_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg3[7]):1'b0;
  325. end
  326. endcase
  327. end
  328. end
  329. else begin
  330. if (EndianSel_i) begin
  331. case (WidthSel_i)
  332. 0 : begin
  333. Mosi0_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i) ) ? (mosiReg0[0]):1'b0;
  334. Mosi1_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  335. Mosi2_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  336. Mosi3_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  337. end
  338. 1 : begin
  339. Mosi0_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg0[0]):1'b0;
  340. Mosi1_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  341. Mosi2_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  342. Mosi3_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  343. end
  344. 2 : begin
  345. Mosi0_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg0[0]):1'b0;
  346. Mosi1_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  347. Mosi2_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  348. Mosi3_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  349. end
  350. 3 : begin
  351. Mosi0_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg0[0]):1'b0;
  352. Mosi1_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  353. Mosi2_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  354. Mosi3_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  355. end
  356. endcase
  357. end
  358. else begin
  359. case (WidthSel_i)
  360. 0 : begin
  361. Mosi0_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[1]):1'b0;
  362. Mosi1_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[1]):1'b0;
  363. Mosi2_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[1]):1'b0;
  364. Mosi3_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg3[1]):1'b0;
  365. end
  366. 1 : begin
  367. Mosi0_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[3]):1'b0;
  368. Mosi1_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[3]):1'b0;
  369. Mosi2_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[3]):1'b0;
  370. Mosi3_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt >LAG_i))? (mosiReg3[3]):1'b0;
  371. end
  372. 2 : begin
  373. Mosi0_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[5]):1'b0;
  374. Mosi1_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[5]):1'b0;
  375. Mosi2_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[5]):1'b0;
  376. Mosi3_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg3[5]):1'b0;
  377. end
  378. 3 : begin
  379. Mosi0_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[7]):1'b0;
  380. Mosi1_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[7]):1'b0;
  381. Mosi2_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[7]):1'b0;
  382. Mosi3_i = (Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg3[7]):1'b0;
  383. end
  384. endcase
  385. end
  386. end
  387. end
  388. always @(posedge Clk_i) begin
  389. SSr <= Ss;
  390. end
  391. always @(*) begin
  392. if (SELST_i) begin
  393. if (Ss && !SSr) begin
  394. valReg = 1'b1;
  395. end
  396. else begin
  397. valReg = 1'b0;
  398. end
  399. end
  400. else begin
  401. if (!Ss&& SSr) begin
  402. valReg = 1'b1;
  403. end
  404. else begin
  405. valReg = 1'b0;
  406. end
  407. end
  408. end
  409. always @(*) begin
  410. if (Rst_i) begin
  411. startFlag = 1'b0;
  412. end
  413. else begin
  414. if (Start_i&& !stopFlag) begin
  415. startFlag = 1'b1;
  416. end
  417. else begin
  418. startFlag = 1'b0;
  419. end
  420. end
  421. end
  422. always @(*) begin
  423. if (Rst_i) begin
  424. ssNum = 1'b0;
  425. end
  426. else begin
  427. case (WidthSel_i)
  428. 0 : begin
  429. ssNum = 2;
  430. end
  431. 1 : begin
  432. ssNum = 4;
  433. end
  434. 2 : begin
  435. ssNum = 6;
  436. end
  437. 3 : begin
  438. ssNum = 8;
  439. end
  440. endcase
  441. end
  442. end
  443. always @(negedge Clk_i) begin
  444. if (Rst_i) begin
  445. ssCnt <= 1'b0;
  446. end
  447. else if (ssCnt < (ssNum+LAG_i+LEAD_i) && startFlag ) begin
  448. ssCnt <= ssCnt + 1'b1;
  449. end
  450. else begin
  451. if (ssCnt == ssNum-1 || !startFlag) begin
  452. ssCnt <= 1'b0;
  453. end
  454. end
  455. end
  456. always @(negedge Clk_i) begin
  457. if (SELST_i) begin
  458. if (Rst_i) begin
  459. Ss <= 1'b1;
  460. end
  461. else begin
  462. if (ssCnt < (ssNum+LAG_i+LEAD_i) && startFlag ) begin
  463. Ss <= 1'b0;
  464. end
  465. else begin
  466. Ss <= 1'b1;
  467. end
  468. end
  469. end
  470. else begin
  471. if (Rst_i) begin
  472. Ss <= 1'b0;
  473. end
  474. else begin
  475. if (ssCnt < (ssNum+LAG_i+LEAD_i) && startFlag ) begin
  476. Ss <= 1'b1;
  477. end
  478. else begin
  479. Ss <= 1'b0;
  480. end
  481. end
  482. end
  483. end
  484. always @(negedge Clk_i) begin
  485. if (Rst_i) begin
  486. mosiReg0 <= SPIdata[31:24];
  487. end
  488. else begin
  489. if (!EndianSel_i) begin
  490. if (SELST_i) begin
  491. if (!SSr && (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  492. mosiReg0 <= { mosiReg0[6:0],1'b0 };
  493. end
  494. else begin
  495. mosiReg0 <= SPIdata[31:24];
  496. end
  497. end
  498. else begin
  499. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  500. mosiReg0 <= { mosiReg0[6:0],1'b0 };
  501. end
  502. else begin
  503. mosiReg0 <= SPIdata[31:24];
  504. end
  505. end
  506. end
  507. else begin
  508. if (SELST_i) begin
  509. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  510. mosiReg0 <= {1'b0, mosiReg0[7:1] };
  511. end
  512. else begin
  513. mosiReg0 <= SPIdata[31:24];
  514. end
  515. end
  516. else begin
  517. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  518. mosiReg0 <= {1'b0, mosiReg0[7:1] };
  519. end
  520. else begin
  521. mosiReg0 <= SPIdata[31:24];
  522. end
  523. end
  524. end
  525. end
  526. end
  527. always @(negedge Clk_i) begin
  528. if (Rst_i) begin
  529. mosiReg1 <= SPIdata[23:16];
  530. end
  531. else begin
  532. if (!EndianSel_i) begin
  533. if (SELST_i) begin
  534. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  535. mosiReg1 <= { mosiReg1[6:0],1'b0 };
  536. end
  537. else begin
  538. mosiReg1 <= SPIdata[23:16];
  539. end
  540. end
  541. else begin
  542. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  543. mosiReg1 <= { mosiReg1[6:0],1'b0 };
  544. end
  545. else begin
  546. mosiReg1 <= SPIdata[23:16];
  547. end
  548. end
  549. end
  550. else begin
  551. if (SELST_i) begin
  552. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  553. mosiReg1 <= {1'b0, mosiReg1[7:1] };
  554. end
  555. else begin
  556. mosiReg1 <= SPIdata[23:16];
  557. end
  558. end
  559. else begin
  560. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  561. mosiReg1 <= {1'b0, mosiReg1[7:1] };
  562. end
  563. else begin
  564. mosiReg1 <= SPIdata[23:16];
  565. end
  566. end
  567. end
  568. end
  569. end
  570. always @(negedge Clk_i) begin
  571. if (Rst_i) begin
  572. mosiReg2 <= SPIdata[15:8];
  573. end
  574. else begin
  575. if (!EndianSel_i) begin
  576. if (SELST_i) begin
  577. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  578. mosiReg2 <= { mosiReg2[6:0],1'b0 };
  579. end
  580. else begin
  581. mosiReg2 <= SPIdata[15:8];
  582. end
  583. end
  584. else begin
  585. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  586. mosiReg2 <= { mosiReg2[6:0],1'b0 };
  587. end
  588. else begin
  589. mosiReg2 <= SPIdata[15:8];
  590. end
  591. end
  592. end
  593. else begin
  594. if (SELST_i) begin
  595. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  596. mosiReg2 <= {1'b0, mosiReg2[7:1] };
  597. end
  598. else begin
  599. mosiReg2 <= SPIdata[15:8];
  600. end
  601. end
  602. else begin
  603. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  604. mosiReg2 <= {1'b0, mosiReg2[7:1] };
  605. end
  606. else begin
  607. mosiReg2 <= SPIdata[15:8];
  608. end
  609. end
  610. end
  611. end
  612. end
  613. always @(negedge Clk_i) begin
  614. if (Rst_i) begin
  615. mosiReg3 <= SPIdata[7:0];
  616. end
  617. else begin
  618. if (!EndianSel_i) begin
  619. if (SELST_i) begin
  620. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  621. mosiReg3 <= { mosiReg3[6:0],1'b0 };
  622. end
  623. else begin
  624. mosiReg3 <= SPIdata[7:0];
  625. end
  626. end
  627. else begin
  628. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  629. mosiReg3 <= { mosiReg3[6:0],1'b0 };
  630. end
  631. else begin
  632. mosiReg3 <= SPIdata[7:0];
  633. end
  634. end
  635. end
  636. else begin
  637. if (SELST_i) begin
  638. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  639. mosiReg3 <= {1'b0, mosiReg3[7:1] };
  640. end
  641. else begin
  642. mosiReg3 <= SPIdata[7:0];
  643. end
  644. end
  645. else begin
  646. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  647. mosiReg3 <= {1'b0, mosiReg3[7:1] };
  648. end
  649. else begin
  650. mosiReg3 <= SPIdata[7:0];
  651. end
  652. end
  653. end
  654. end
  655. end
  656. endmodule