S5443_3TopTb.v 1.9 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113
  1. `timescale 1ns / 1ps
  2. //////////////////////////////////////////////////////////////////////////////////
  3. // Company:
  4. // Engineer:
  5. //
  6. // Create Date: 10.10.2018 01:07:38
  7. // Design Name:
  8. // Module Name: sram_ctrl2
  9. // Project Name:
  10. // Target Devices:
  11. // Tool Versions:
  12. // Description:
  13. //
  14. // Dependencies:
  15. //
  16. // Revision:
  17. // Revision 0.01 - File Created
  18. // Additional Comments:
  19. //
  20. //////////////////////////////////////////////////////////////////////////////////
  21. module S5443_3TopTb
  22. (
  23. input Clk_i
  24. );
  25. //================================================================================
  26. // REG/WIRE
  27. reg clk123Dsp;
  28. wire start;
  29. reg rstN;
  30. reg ForceRstN;
  31. wire [15:0] data;
  32. wire [10:0] addr;
  33. wire awe;
  34. wire amsn;
  35. wire aoe;
  36. wire are;
  37. wire [1:0] be;
  38. reg [31:0] tbCnt;
  39. //================================================================================
  40. // LOCALPARAM
  41. //================================================================================
  42. // ASSIGNMENTS
  43. always #8.13 clk123Dsp = ~clk123Dsp;
  44. assign start = (tbCnt==50||tbCnt==51);
  45. //================================================================================
  46. // CODING
  47. initial begin
  48. rstN = 0;
  49. ForceRstN = 0;
  50. clk123Dsp = 1;
  51. #20
  52. rstN = 1;
  53. ForceRstN = 1;
  54. end
  55. always @(posedge clk123Dsp) begin
  56. if (rstN) begin
  57. tbCnt <= tbCnt+32'd1;
  58. end else begin
  59. tbCnt <= 0;
  60. end
  61. end
  62. DspSmcModel DspSmcModel
  63. (
  64. .Clk120MHz_i (clk123Dsp),
  65. .RstN_i (rstN),
  66. .SmcD_o (data),
  67. .SmcA_o (addr),
  68. .SmcAwe_o (awe),
  69. .SmcAmsN_o (amsn),
  70. .SmcAoe_o (aoe),
  71. .SmcAre_o (are),
  72. .SmcBe_o (be),
  73. .Start_i (start)
  74. );
  75. S5443_3Top S5443_3Top
  76. (
  77. .Clk123_i (clk123Dsp),
  78. .SmcAddr_i (addr),
  79. .SmcData_i (data),
  80. .SmcAwe_i (awe),
  81. .SmcAmsN_i (amsn),
  82. .SmcAre_i (are),
  83. .SmcBe_i (be),
  84. .SmcAoe_i (aoe),
  85. .LD_i (),
  86. .Led_o (),
  87. .Mosi0_o (),
  88. .Mosi1_o (),
  89. .Mosi2_o (),
  90. .Mosi3_o (),
  91. .Ss_o (),
  92. .SsFlash_o (),
  93. .Sck_o (),
  94. .SpiRst_o (),
  95. .LD_o ()
  96. );
  97. endmodule