QuadSPIm.v 26 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744
  1. module QuadSPIm(
  2. input Clk_i,
  3. input Rst_i,
  4. input Start_i,
  5. input ClockPhase_i,
  6. input [31:0] SpiData_i,
  7. input SpiDataVal_i,
  8. input SelSt_i,
  9. input [1:0] WidthSel_i,
  10. input Lag_i,
  11. input Lead_i,
  12. input EndianSel_i,
  13. input [5:0] Stop_i,
  14. input PulsePol_i,
  15. output reg Mosi0_i,
  16. output reg Mosi1_i,
  17. output reg Mosi2_i,
  18. output reg Mosi3_i,
  19. output reg Sck_o,
  20. output reg Val_o,
  21. output Ss_o
  22. );
  23. //================================================================================
  24. // REG/WIRE
  25. //================================================================================
  26. reg startFlag;
  27. reg startR;
  28. reg [31:0] trCnt;
  29. reg valReg;
  30. reg lineBusy;
  31. reg [5:0] ssCnt;
  32. reg ss;
  33. reg ssR;
  34. reg [31:0] spiDataR;
  35. reg oldDataFlag;
  36. reg [7:0] mosiReg0;
  37. reg [7:0] mosiReg1;
  38. reg [7:0] mosiReg2;
  39. reg [7:0] mosiReg3;
  40. reg [3:0] ssNum;
  41. reg [2:0] delayCnt;
  42. reg stopFlag;
  43. //================================================================================
  44. // ASSIGNMENTS
  45. //================================================================================
  46. assign Ss_o = ss;
  47. //================================================================================
  48. // CODING
  49. //================================================================================
  50. always @(*) begin
  51. if (Start_i) begin
  52. Val_o = valReg;
  53. end
  54. else begin
  55. Val_o = 1'b0;
  56. end
  57. end
  58. always @(*) begin
  59. if (SelSt_i) begin
  60. if (!ss) begin
  61. lineBusy = 1'b1;
  62. end
  63. else begin
  64. lineBusy = 1'b0;
  65. end
  66. end
  67. else begin
  68. if (ss) begin
  69. lineBusy = 1'b1;
  70. end
  71. else begin
  72. lineBusy = 1'b0;
  73. end
  74. end
  75. end
  76. always @(posedge Clk_i) begin
  77. if (Rst_i) begin
  78. trCnt <= 1'b0;
  79. end
  80. else begin
  81. if ( ssCnt == (ssNum + Lead_i + Lag_i)) begin
  82. trCnt <= trCnt + 1'b1;
  83. end
  84. else if (oldDataFlag) begin
  85. trCnt <= 1'b0;
  86. end
  87. end
  88. end
  89. always @(posedge Clk_i) begin
  90. if (Rst_i) begin
  91. delayCnt <= 1'b0;
  92. end
  93. else begin
  94. if (stopFlag &&delayCnt < Stop_i) begin
  95. delayCnt <= delayCnt + 1'b1;
  96. end
  97. else begin
  98. delayCnt <= 1'b0;
  99. end
  100. end
  101. end
  102. always @(posedge Clk_i) begin
  103. if (Rst_i) begin
  104. stopFlag <= 1'b0;
  105. end
  106. else begin
  107. if (SelSt_i) begin
  108. if (ss && !ssR) begin
  109. stopFlag <= 1'b1;
  110. end
  111. else if ( delayCnt == Stop_i) begin
  112. stopFlag <= 1'b0;
  113. end
  114. end
  115. else begin
  116. if (!ss && ssR) begin
  117. stopFlag <= 1'b1;
  118. end
  119. else if (delayCnt == Stop_i) begin
  120. stopFlag <= 1'b0;
  121. end
  122. end
  123. end
  124. end
  125. always @(*) begin
  126. if (SelSt_i) begin
  127. if (PulsePol_i) begin
  128. if (ClockPhase_i) begin
  129. if (Lead_i == 0) begin
  130. if (!ss && (ssCnt <= ssNum+Lag_i+Lead_i && ssCnt > Lag_i) ) begin
  131. Sck_o = ~(~Clk_i);
  132. end
  133. else begin
  134. Sck_o = 1'b0;
  135. end
  136. end
  137. else begin
  138. if (!ss && (ssCnt < ssNum+Lag_i+Lead_i && ssCnt > Lag_i)) begin
  139. Sck_o = ~(~Clk_i);
  140. end
  141. else begin
  142. Sck_o = 1'b0;
  143. end
  144. end
  145. end
  146. else begin
  147. if (Lead_i == 0) begin
  148. if (!ss && (ssCnt <= ssNum+Lag_i+Lead_i && ssCnt > Lag_i) ) begin
  149. Sck_o = ~(Clk_i);
  150. end
  151. else begin
  152. Sck_o = 1'b0;
  153. end
  154. end
  155. else begin
  156. if (!ss && (ssCnt < ssNum + Lag_i + Lead_i && ssCnt > Lag_i)) begin
  157. Sck_o = ~(Clk_i);
  158. end
  159. else begin
  160. Sck_o = 1'b0;
  161. end
  162. end
  163. end
  164. end
  165. else begin
  166. if (ClockPhase_i) begin
  167. if (Lead_i == 0) begin
  168. if (!ss && (ssCnt <= ssNum+Lag_i+Lead_i && ssCnt > Lag_i) ) begin
  169. Sck_o = ~(Clk_i);
  170. end
  171. else begin
  172. Sck_o = 1'b0;
  173. end
  174. end
  175. else begin
  176. if (!ss && (ssCnt <ssNum + Lag_i + Lag_i && ssCnt > Lag_i)) begin
  177. Sck_o = ~(Clk_i);
  178. end
  179. else begin
  180. Sck_o = 1'b0;
  181. end
  182. end
  183. end
  184. else begin
  185. if (Lead_i == 0) begin
  186. if (!ss && (ssCnt <= ssNum+Lag_i+Lead_i && ssCnt > Lag_i) ) begin
  187. Sck_o = ~(~Clk_i);
  188. end
  189. else begin
  190. Sck_o = 1'b0;
  191. end
  192. end
  193. else begin
  194. if (!ss && (ssCnt < ssNum + Lag_i + Lead_i && ssCnt > Lag_i)) begin
  195. Sck_o = ~(~Clk_i);
  196. end
  197. else begin
  198. Sck_o = 1'b0;
  199. end
  200. end
  201. end
  202. end
  203. end
  204. else begin
  205. if (PulsePol_i) begin
  206. if (ClockPhase_i) begin
  207. if (Lead_i == 0) begin
  208. if (ss && (ssCnt <= ssNum+Lag_i+Lead_i && ssCnt > Lag_i) ) begin
  209. Sck_o = ~(~Clk_i);
  210. end
  211. else begin
  212. Sck_o = 1'b0;
  213. end
  214. end
  215. else begin
  216. if (ss && (ssCnt < ssNum+Lag_i+Lead_i && ssCnt > Lag_i)) begin
  217. Sck_o = ~(~Clk_i);
  218. end
  219. else begin
  220. Sck_o = 1'b0;
  221. end
  222. end
  223. end
  224. else begin
  225. if (Lead_i == 0) begin
  226. if (ss && (ssCnt <= ssNum+Lag_i+Lead_i && ssCnt > Lag_i) ) begin
  227. Sck_o = ~(Clk_i);
  228. end
  229. else begin
  230. Sck_o = 1'b0;
  231. end
  232. end
  233. else begin
  234. if (ss && (ssCnt < ssNum + Lag_i + Lead_i && ssCnt > Lag_i)) begin
  235. Sck_o = ~(Clk_i);
  236. end
  237. else begin
  238. Sck_o = 1'b0;
  239. end
  240. end
  241. end
  242. end
  243. else begin
  244. if (ClockPhase_i) begin
  245. if (Lead_i == 0) begin
  246. if (ss && (ssCnt <= ssNum+Lag_i+Lead_i && ssCnt > Lag_i) ) begin
  247. Sck_o = ~(Clk_i);
  248. end
  249. else begin
  250. Sck_o = 1'b0;
  251. end
  252. end
  253. else begin
  254. if (ss && (ssCnt <ssNum + Lag_i + Lag_i && ssCnt > Lag_i)) begin
  255. Sck_o = ~(Clk_i);
  256. end
  257. else begin
  258. Sck_o = 1'b0;
  259. end
  260. end
  261. end
  262. else begin
  263. if (Lead_i == 0) begin
  264. if (ss && (ssCnt <= ssNum+Lag_i+Lead_i && ssCnt > Lag_i) ) begin
  265. Sck_o = ~(~Clk_i);
  266. end
  267. else begin
  268. Sck_o = 1'b0;
  269. end
  270. end
  271. else begin
  272. if (ss && (ssCnt < ssNum + Lag_i + Lead_i && ssCnt > Lag_i)) begin
  273. Sck_o = ~(~Clk_i);
  274. end
  275. else begin
  276. Sck_o = 1'b0;
  277. end
  278. end
  279. end
  280. end
  281. end
  282. end
  283. always @(*) begin
  284. if (SelSt_i) begin
  285. if (EndianSel_i) begin
  286. case (WidthSel_i)
  287. 0 : begin
  288. Mosi0_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i) ) ? (mosiReg3[0]):1'b0;
  289. Mosi1_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg3[0]):1'b0;
  290. Mosi2_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg1[0]):1'b0;
  291. Mosi3_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg0[0]):1'b0;
  292. end
  293. 1 : begin
  294. Mosi0_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg3[0]):1'b0;
  295. Mosi1_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg2[0]):1'b0;
  296. Mosi2_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg1[0]):1'b0;
  297. Mosi3_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg0[0]):1'b0;
  298. end
  299. 2 : begin
  300. Mosi0_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg3[0]):1'b0;
  301. Mosi1_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg2[0]):1'b0;
  302. Mosi2_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg1[0]):1'b0;
  303. Mosi3_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg0[0]):1'b0;
  304. end
  305. 3 : begin
  306. Mosi0_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg3[0]):1'b0;
  307. Mosi1_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg2[0]):1'b0;
  308. Mosi2_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg1[0]):1'b0;
  309. Mosi3_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg0[0]):1'b0;
  310. end
  311. endcase
  312. end
  313. else begin
  314. case (WidthSel_i)
  315. 0 : begin
  316. Mosi0_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg0[1]):1'b0;
  317. Mosi1_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg1[1]):1'b0;
  318. Mosi2_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg2[1]):1'b0;
  319. Mosi3_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg3[1]):1'b0;
  320. end
  321. 1 : begin
  322. Mosi0_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg0[3]):1'b0;
  323. Mosi1_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg1[3]):1'b0;
  324. Mosi2_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg2[3]):1'b0;
  325. Mosi3_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt >Lag_i))? (mosiReg3[3]):1'b0;
  326. end
  327. 2 : begin
  328. Mosi0_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg0[5]):1'b0;
  329. Mosi1_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg1[5]):1'b0;
  330. Mosi2_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg2[5]):1'b0;
  331. Mosi3_i = (!ss&& (ssCnt < ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg3[5]):1'b0;
  332. end
  333. 3 : begin
  334. Mosi0_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg0[7]):1'b0;
  335. Mosi1_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg1[7]):1'b0;
  336. Mosi2_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg2[7]):1'b0;
  337. Mosi3_i = (!ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg3[7]):1'b0;
  338. end
  339. endcase
  340. end
  341. end
  342. else begin
  343. if (EndianSel_i) begin
  344. case (WidthSel_i)
  345. 0 : begin
  346. Mosi0_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i) )?(mosiReg3[0]):1'b0;
  347. Mosi1_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg2[0]):1'b0;
  348. Mosi2_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg1[0]):1'b0;
  349. Mosi3_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg0[0]):1'b0;
  350. end
  351. 1 : begin
  352. Mosi0_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg3[0]):1'b0;
  353. Mosi1_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg2[0]):1'b0;
  354. Mosi2_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg1[0]):1'b0;
  355. Mosi3_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg0[0]):1'b0;
  356. end
  357. 2 : begin
  358. Mosi0_i = (ss && (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg3[0]):1'b0;
  359. Mosi1_i = (ss && (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg2[0]):1'b0;
  360. Mosi2_i = (ss && (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg1[0]):1'b0;
  361. Mosi3_i = (ss && (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg0[0]):1'b0;
  362. end
  363. 3 : begin
  364. Mosi0_i = (ss && (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg3[0]):1'b0;
  365. Mosi1_i = (ss && (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg2[0]):1'b0;
  366. Mosi2_i = (ss && (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg1[0]):1'b0;
  367. Mosi3_i = (ss && (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i)) ? (mosiReg0[0]):1'b0;
  368. end
  369. endcase
  370. end
  371. else begin
  372. case (WidthSel_i)
  373. 0 : begin
  374. Mosi0_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg0[1]):1'b0;
  375. Mosi1_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg1[1]):1'b0;
  376. Mosi2_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg2[1]):1'b0;
  377. Mosi3_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg3[1]):1'b0;
  378. end
  379. 1 : begin
  380. Mosi0_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg0[3]):1'b0;
  381. Mosi1_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg1[3]):1'b0;
  382. Mosi2_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg2[3]):1'b0;
  383. Mosi3_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt >Lag_i))? (mosiReg3[3]):1'b0;
  384. end
  385. 2 : begin
  386. Mosi0_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg0[5]):1'b0;
  387. Mosi1_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg1[5]):1'b0;
  388. Mosi2_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg2[5]):1'b0;
  389. Mosi3_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg3[5]):1'b0;
  390. end
  391. 3 : begin
  392. Mosi0_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg0[7]):1'b0;
  393. Mosi1_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg1[7]):1'b0;
  394. Mosi2_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg2[7]):1'b0;
  395. Mosi3_i = (ss&& (ssCnt <= ssNum+Lag_i && ssCnt > Lag_i))? (mosiReg3[7]):1'b0;
  396. end
  397. endcase
  398. end
  399. end
  400. end
  401. always @(posedge Clk_i) begin
  402. ssR <= ss;
  403. end
  404. always @(*) begin
  405. if (SelSt_i) begin
  406. if (ss && !ssR) begin
  407. valReg = 1'b1;
  408. end
  409. else begin
  410. valReg = 1'b0;
  411. end
  412. end
  413. else begin
  414. if (!ss&& ssR) begin
  415. valReg = 1'b1;
  416. end
  417. else begin
  418. valReg = 1'b0;
  419. end
  420. end
  421. end
  422. always @(posedge Clk_i) begin
  423. if (valReg) begin
  424. spiDataR <= SpiData_i;
  425. end
  426. end
  427. always @(*) begin
  428. if (Rst_i) begin
  429. oldDataFlag = 1'b0;
  430. end
  431. else begin
  432. if (spiDataR == SpiData_i) begin
  433. oldDataFlag = 1'b1;
  434. end
  435. else begin
  436. oldDataFlag = 1'b0;
  437. end
  438. end
  439. end
  440. always @(*) begin
  441. if (Rst_i) begin
  442. startFlag = 1'b0;
  443. end
  444. else begin
  445. if (Start_i&& !stopFlag && SpiData_i != 0 && !oldDataFlag ) begin
  446. startFlag = 1'b1;
  447. end
  448. else begin
  449. startFlag = 1'b0;
  450. end
  451. end
  452. end
  453. always @(*) begin
  454. if (Rst_i) begin
  455. ssNum = 1'b0;
  456. end
  457. else begin
  458. case (WidthSel_i)
  459. 0 : begin
  460. ssNum = 2;
  461. end
  462. 1 : begin
  463. ssNum = 4;
  464. end
  465. 2 : begin
  466. ssNum = 6;
  467. end
  468. 3 : begin
  469. ssNum = 8;
  470. end
  471. endcase
  472. end
  473. end
  474. always @(negedge Clk_i) begin
  475. if (Rst_i) begin
  476. ssCnt <= 1'b0;
  477. end
  478. else if (ssCnt < (ssNum+Lag_i+Lead_i) && startFlag ) begin
  479. ssCnt <= ssCnt + 1'b1;
  480. end
  481. else begin
  482. if (ssCnt == ssNum-1 || !startFlag) begin
  483. ssCnt <= 1'b0;
  484. end
  485. end
  486. end
  487. always @(negedge Clk_i) begin
  488. if (SelSt_i) begin
  489. if (Rst_i) begin
  490. ss <= 1'b1;
  491. end
  492. else begin
  493. if (ssCnt < (ssNum+Lag_i+Lead_i) && startFlag ) begin
  494. ss <= 1'b0;
  495. end
  496. else begin
  497. ss <= 1'b1;
  498. end
  499. end
  500. end
  501. else begin
  502. if (Rst_i) begin
  503. ss <= 1'b0;
  504. end
  505. else begin
  506. if (ssCnt < (ssNum+Lag_i+Lead_i) && startFlag ) begin
  507. ss <= 1'b1;
  508. end
  509. else begin
  510. ss <= 1'b0;
  511. end
  512. end
  513. end
  514. end
  515. always @(negedge Clk_i) begin
  516. if (Rst_i) begin
  517. mosiReg0 <= SpiData_i[31:24];
  518. end
  519. else begin
  520. if (!EndianSel_i) begin
  521. if (SelSt_i) begin
  522. if (!ssR && (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  523. mosiReg0 <= { mosiReg0[6:0],1'b0 };
  524. end
  525. else begin
  526. mosiReg0 <= SpiData_i[31:24];
  527. end
  528. end
  529. else begin
  530. if (ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  531. mosiReg0 <= { mosiReg0[6:0],1'b0 };
  532. end
  533. else begin
  534. mosiReg0 <= SpiData_i[31:24];
  535. end
  536. end
  537. end
  538. else begin
  539. if (SelSt_i) begin
  540. if (!ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  541. mosiReg0 <= {1'b0, mosiReg0[7:1] };
  542. end
  543. else begin
  544. mosiReg0 <= SpiData_i[31:24];
  545. end
  546. end
  547. else begin
  548. if (ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  549. mosiReg0 <= {1'b0, mosiReg0[7:1] };
  550. end
  551. else begin
  552. mosiReg0 <= SpiData_i[31:24];
  553. end
  554. end
  555. end
  556. end
  557. end
  558. always @(negedge Clk_i) begin
  559. if (Rst_i) begin
  560. mosiReg1 <= SpiData_i[23:16];
  561. end
  562. else begin
  563. if (!EndianSel_i) begin
  564. if (SelSt_i) begin
  565. if (!ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  566. mosiReg1 <= { mosiReg1[6:0],1'b0 };
  567. end
  568. else begin
  569. mosiReg1 <= SpiData_i[23:16];
  570. end
  571. end
  572. else begin
  573. if (ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  574. mosiReg1 <= { mosiReg1[6:0],1'b0 };
  575. end
  576. else begin
  577. mosiReg1 <= SpiData_i[23:16];
  578. end
  579. end
  580. end
  581. else begin
  582. if (SelSt_i) begin
  583. if (!ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  584. mosiReg1 <= {1'b0, mosiReg1[7:1] };
  585. end
  586. else begin
  587. mosiReg1 <= SpiData_i[23:16];
  588. end
  589. end
  590. else begin
  591. if (ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  592. mosiReg1 <= {1'b0, mosiReg1[7:1] };
  593. end
  594. else begin
  595. mosiReg1 <= SpiData_i[23:16];
  596. end
  597. end
  598. end
  599. end
  600. end
  601. always @(negedge Clk_i) begin
  602. if (Rst_i) begin
  603. mosiReg2 <= SpiData_i[15:8];
  604. end
  605. else begin
  606. if (!EndianSel_i) begin
  607. if (SelSt_i) begin
  608. if (!ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  609. mosiReg2 <= { mosiReg2[6:0],1'b0 };
  610. end
  611. else begin
  612. mosiReg2 <= SpiData_i[15:8];
  613. end
  614. end
  615. else begin
  616. if (ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  617. mosiReg2 <= { mosiReg2[6:0],1'b0 };
  618. end
  619. else begin
  620. mosiReg2 <= SpiData_i[15:8];
  621. end
  622. end
  623. end
  624. else begin
  625. if (SelSt_i) begin
  626. if (!ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  627. mosiReg2 <= {1'b0, mosiReg2[7:1] };
  628. end
  629. else begin
  630. mosiReg2 <= SpiData_i[15:8];
  631. end
  632. end
  633. else begin
  634. if (ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  635. mosiReg2 <= {1'b0, mosiReg2[7:1] };
  636. end
  637. else begin
  638. mosiReg2 <= SpiData_i[15:8];
  639. end
  640. end
  641. end
  642. end
  643. end
  644. always @(negedge Clk_i) begin
  645. if (Rst_i) begin
  646. mosiReg3 <= SpiData_i[7:0];
  647. end
  648. else begin
  649. if (!EndianSel_i) begin
  650. if (SelSt_i) begin
  651. if (!ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  652. mosiReg3 <= { mosiReg3[6:0],1'b0 };
  653. end
  654. else begin
  655. mosiReg3 <= SpiData_i[7:0];
  656. end
  657. end
  658. else begin
  659. if (ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  660. mosiReg3 <= { mosiReg3[6:0],1'b0 };
  661. end
  662. else begin
  663. mosiReg3 <= SpiData_i[7:0];
  664. end
  665. end
  666. end
  667. else begin
  668. if (SelSt_i) begin
  669. if (!ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  670. mosiReg3 <= {1'b0, mosiReg3[7:1] };
  671. end
  672. else begin
  673. mosiReg3 <= SpiData_i[7:0];
  674. end
  675. end
  676. else begin
  677. if (ssR&& (ssCnt > Lag_i && ssCnt < ssNum + Lag_i + Lead_i)) begin
  678. mosiReg3 <= {1'b0, mosiReg3[7:1] };
  679. end
  680. else begin
  681. mosiReg3 <= SpiData_i[7:0];
  682. end
  683. end
  684. end
  685. end
  686. end
  687. endmodule