| 123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527 |
- set_property PACKAGE_PIN C15 [get_ports {SmcAddr_i[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcAddr_i[0]}]
- set_property PACKAGE_PIN C13 [get_ports {SmcAddr_i[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcAddr_i[1]}]
- set_property PACKAGE_PIN D15 [get_ports {SmcAddr_i[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcAddr_i[2]}]
- set_property PACKAGE_PIN C14 [get_ports {SmcAddr_i[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcAddr_i[3]}]
- set_property PACKAGE_PIN E15 [get_ports {SmcAddr_i[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcAddr_i[4]}]
- set_property PACKAGE_PIN D13 [get_ports {SmcAddr_i[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcAddr_i[5]}]
- set_property PACKAGE_PIN F15 [get_ports {SmcAddr_i[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcAddr_i[6]}]
- set_property PACKAGE_PIN E14 [get_ports {SmcAddr_i[7]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcAddr_i[7]}]
- set_property PACKAGE_PIN J15 [get_ports {SmcAddr_i[8]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcAddr_i[8]}]
- set_property PACKAGE_PIN F14 [get_ports {SmcAddr_i[9]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcAddr_i[9]}]
- set_property PACKAGE_PIN K15 [get_ports {SmcAddr_i[10]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcAddr_i[10]}]
- set_property PACKAGE_PIN B15 [get_ports {SmcData_io[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[0]}]
- set_property PACKAGE_PIN B14 [get_ports {SmcData_io[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[1]}]
- set_property PACKAGE_PIN B11 [get_ports {SmcData_io[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[2]}]
- set_property PACKAGE_PIN B12 [get_ports {SmcData_io[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[3]}]
- set_property PACKAGE_PIN A12 [get_ports {SmcData_io[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[4]}]
- set_property PACKAGE_PIN B9 [get_ports {SmcData_io[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[5]}]
- set_property PACKAGE_PIN K14 [get_ports {SmcData_io[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[6]}]
- set_property PACKAGE_PIN A11 [get_ports {SmcData_io[7]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[7]}]
- set_property PACKAGE_PIN A6 [get_ports {SmcData_io[8]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[8]}]
- set_property PACKAGE_PIN A13 [get_ports {SmcData_io[9]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[9]}]
- set_property PACKAGE_PIN A10 [get_ports {SmcData_io[10]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[10]}]
- set_property PACKAGE_PIN B6 [get_ports {SmcData_io[11]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[11]}]
- set_property PACKAGE_PIN A5 [get_ports {SmcData_io[12]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[12]}]
- set_property PACKAGE_PIN B10 [get_ports {SmcData_io[13]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[13]}]
- set_property PACKAGE_PIN A8 [get_ports {SmcData_io[14]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[14]}]
- set_property PACKAGE_PIN A14 [get_ports {SmcData_io[15]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcData_io[15]}]
- set_property PACKAGE_PIN B13 [get_ports SmcAmsN_i]
- set_property IOSTANDARD LVCMOS33 [get_ports SmcAmsN_i]
- set_property PACKAGE_PIN C6 [get_ports Led_o]
- set_property IOSTANDARD LVCMOS33 [get_ports Led_o]
- set_property PACKAGE_PIN A9 [get_ports SmcAwe_i]
- set_property IOSTANDARD LVCMOS33 [get_ports SmcAwe_i]
- set_property PACKAGE_PIN C5 [get_ports SmcAre_i]
- set_property IOSTANDARD LVCMOS33 [get_ports SmcAre_i]
- set_property PACKAGE_PIN C8 [get_ports SmcAoe_i]
- set_property IOSTANDARD LVCMOS33 [get_ports SmcAoe_i]
- set_property PACKAGE_PIN L15 [get_ports {SmcBe_i[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcBe_i[1]}]
- set_property PACKAGE_PIN L14 [get_ports {SmcBe_i[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SmcBe_i[0]}]
- set_property PACKAGE_PIN N11 [get_ports {Ld_i[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ld_i[1]}]
- set_property PACKAGE_PIN N9 [get_ports {Ld_i[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ld_i[2]}]
- set_property PACKAGE_PIN N13 [get_ports {Ld_i[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ld_i[3]}]
- set_property PACKAGE_PIN P15 [get_ports {Ld_i[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ld_i[4]}]
- set_property PACKAGE_PIN N12 [get_ports {Ld_i[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ld_i[5]}]
- set_property PACKAGE_PIN M8 [get_ports {Ld_i[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ld_i[6]}]
- set_property PACKAGE_PIN M13 [get_ports {Ld_i[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ld_i[0]}]
- #==========================================================================
- # SPI INTERFACES
- #SPI0
- set_property PACKAGE_PIN K1 [get_ports {Sck_o[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[0]}]
- set_property PACKAGE_PIN H1 [get_ports {Ss_o[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[0]}]
- set_property PACKAGE_PIN K2 [get_ports {SsFlash_o[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[0]}]
- set_property PACKAGE_PIN J1 [get_ports {Mosi0_o[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[0]}]
- set_property PACKAGE_PIN J3 [get_ports {Mosi1_io[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi1_io[0]}]
- set_property PACKAGE_PIN M3 [get_ports {Mosi2_o[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi2_o[0]}]
- set_property PACKAGE_PIN L1 [get_ports {Mosi3_o[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi3_o[0]}]
- set_property PACKAGE_PIN J2 [get_ports {SpiRst_o[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiRst_o[0]}]
- set_property PACKAGE_PIN H2 [get_ports {SpiDir_o[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiDir_o[0]}]
- #SPI1
- set_property PACKAGE_PIN N2 [get_ports {Sck_o[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[1]}]
- set_property PACKAGE_PIN N4 [get_ports {Ss_o[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[1]}]
- set_property PACKAGE_PIN P1 [get_ports {SsFlash_o[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[1]}]
- set_property PACKAGE_PIN N3 [get_ports {Mosi0_o[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[1]}]
- set_property PACKAGE_PIN R2 [get_ports {Mosi1_io[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi1_io[1]}]
- set_property PACKAGE_PIN N1 [get_ports {Mosi2_o[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi2_o[1]}]
- set_property PACKAGE_PIN M2 [get_ports {Mosi3_o[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi3_o[1]}]
- set_property PACKAGE_PIN P2 [get_ports {SpiRst_o[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiRst_o[1]}]
- set_property PACKAGE_PIN M1 [get_ports {SpiDir_o[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiDir_o[1]}]
- #SPI2
- set_property PACKAGE_PIN E2 [get_ports {Sck_o[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[2]}]
- set_property PACKAGE_PIN E1 [get_ports {Ss_o[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[2]}]
- set_property PACKAGE_PIN F1 [get_ports {SsFlash_o[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[2]}]
- set_property PACKAGE_PIN D1 [get_ports {Mosi0_o[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[2]}]
- set_property PACKAGE_PIN D2 [get_ports {Mosi1_io[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi1_io[2]}]
- set_property PACKAGE_PIN F2 [get_ports {Mosi2_o[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi2_o[2]}]
- set_property PACKAGE_PIN G1 [get_ports {Mosi3_o[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi3_o[2]}]
- set_property PACKAGE_PIN E3 [get_ports {SpiRst_o[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiRst_o[2]}]
- set_property PACKAGE_PIN C1 [get_ports {SpiDir_o[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiDir_o[2]}]
- #SPI3
- set_property PACKAGE_PIN R10 [get_ports {Sck_o[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[3]}]
- set_property PACKAGE_PIN P10 [get_ports {Ss_o[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[3]}]
- set_property PACKAGE_PIN N10 [get_ports {SsFlash_o[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[3]}]
- set_property PACKAGE_PIN N8 [get_ports {Mosi0_o[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[3]}]
- set_property PACKAGE_PIN R8 [get_ports {Mosi1_io[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi1_io[3]}]
- set_property PACKAGE_PIN R11 [get_ports {Mosi2_o[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi2_o[3]}]
- set_property PACKAGE_PIN P11 [get_ports {Mosi3_o[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi3_o[3]}]
- set_property PACKAGE_PIN R9 [get_ports {SpiRst_o[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiRst_o[3]}]
- set_property PACKAGE_PIN P7 [get_ports {SpiDir_o[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiDir_o[3]}]
- #SPI4
- set_property PACKAGE_PIN R14 [get_ports {Sck_o[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[4]}]
- set_property PACKAGE_PIN N14 [get_ports {Ss_o[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[4]}]
- set_property PACKAGE_PIN P14 [get_ports {SsFlash_o[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[4]}]
- set_property PACKAGE_PIN R13 [get_ports {Mosi0_o[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[4]}]
- set_property PACKAGE_PIN P12 [get_ports {Mosi1_io[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi1_io[4]}]
- set_property PACKAGE_PIN M15 [get_ports {Mosi2_o[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi2_o[4]}]
- set_property PACKAGE_PIN M14 [get_ports {Mosi3_o[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi3_o[4]}]
- set_property PACKAGE_PIN N15 [get_ports {SpiRst_o[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiRst_o[4]}]
- set_property PACKAGE_PIN R12 [get_ports {SpiDir_o[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiDir_o[4]}]
- #SPI5
- set_property PACKAGE_PIN P6 [get_ports {Sck_o[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[5]}]
- set_property PACKAGE_PIN R5 [get_ports {Ss_o[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[5]}]
- set_property PACKAGE_PIN R6 [get_ports {SsFlash_o[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[5]}]
- set_property PACKAGE_PIN R4 [get_ports {Mosi0_o[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[5]}]
- set_property PACKAGE_PIN P3 [get_ports {Mosi1_io[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi1_io[5]}]
- set_property PACKAGE_PIN N7 [get_ports {Mosi2_o[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi2_o[5]}]
- set_property PACKAGE_PIN R7 [get_ports {Mosi3_o[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi3_o[5]}]
- set_property PACKAGE_PIN N6 [get_ports {SpiRst_o[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiRst_o[5]}]
- set_property PACKAGE_PIN R3 [get_ports {SpiDir_o[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiDir_o[5]}]
- #SPI6
- set_property PACKAGE_PIN B5 [get_ports {Sck_o[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[6]}]
- set_property PACKAGE_PIN B3 [get_ports {Ss_o[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[6]}]
- set_property PACKAGE_PIN A4 [get_ports {SsFlash_o[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[6]}]
- set_property PACKAGE_PIN B1 [get_ports {Mosi0_o[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[6]}]
- set_property PACKAGE_PIN C4 [get_ports {Mosi1_io[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi1_io[6]}]
- set_property PACKAGE_PIN B4 [get_ports {Mosi2_o[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi2_o[6]}]
- set_property PACKAGE_PIN A3 [get_ports {Mosi3_o[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Mosi3_o[6]}]
- set_property PACKAGE_PIN A2 [get_ports {SpiRst_o[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiRst_o[6]}]
- set_property PACKAGE_PIN B2 [get_ports {SpiDir_o[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {SpiDir_o[6]}]
- set_property PACKAGE_PIN M7 [get_ports LD_o]
- set_property IOSTANDARD LVCMOS33 [get_ports LD_o]
- #==========================================================================
- # INPUT CLOCKS
- set_property PACKAGE_PIN M10 [get_ports Clk123_i]
- set_property IOSTANDARD LVCMOS33 [get_ports Clk123_i]
- create_clock -period 8.130 -name Clk123_i -waveform {0.000 4.065} -add [get_ports Clk123_i]
- set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Clk123_i_IBUF]
- # set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets SmcAre_i_IBUF]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
- # set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
- # set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
- # set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
- # set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
- # set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
- # set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
- # set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
- set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks Clk123_i]
- # set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
- set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks Clk123_i]
- # set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
- set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks Clk123_i]
- # set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
- set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks Clk123_i]
- # set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
- set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks Clk123_i]
- # set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
- set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks Clk123_i]
- # set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
- set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
- set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks Clk123_i]
- # set_false_path -from [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
- connect_debug_port u_ila_0/probe4 [get_nets [list {Mosi1_io_IBUF[0]}]]
- connect_debug_port u_ila_0/probe11 [get_nets [list {Mosi1_io_OBUF[0]}]]
- connect_debug_port u_ila_0/probe12 [get_nets [list {dataToRxFifo[0][31]} {dataToRxFifo[0][30]} {dataToRxFifo[0][29]} {dataToRxFifo[0][28]} {dataToRxFifo[0][27]} {dataToRxFifo[0][26]} {dataToRxFifo[0][25]} {dataToRxFifo[0][24]} {dataToRxFifo[0][23]} {dataToRxFifo[0][22]} {dataToRxFifo[0][21]} {dataToRxFifo[0][20]} {dataToRxFifo[0][19]} {dataToRxFifo[0][18]} {dataToRxFifo[0][17]} {dataToRxFifo[0][16]} {dataToRxFifo[0][15]} {dataToRxFifo[0][14]} {dataToRxFifo[0][13]} {dataToRxFifo[0][12]} {dataToRxFifo[0][11]} {dataToRxFifo[0][10]} {dataToRxFifo[0][9]} {dataToRxFifo[0][8]} {dataToRxFifo[0][7]} {dataToRxFifo[0][6]} {dataToRxFifo[0][5]} {dataToRxFifo[0][4]} {dataToRxFifo[0][3]} {dataToRxFifo[0][2]} {dataToRxFifo[0][1]} {dataToRxFifo[0][0]}]]
- connect_debug_port u_ila_0/probe22 [get_nets [list {toSpiData[0][31]} {toSpiData[0][30]} {toSpiData[0][29]} {toSpiData[0][28]} {toSpiData[0][27]} {toSpiData[0][26]} {toSpiData[0][25]} {toSpiData[0][24]} {toSpiData[0][23]} {toSpiData[0][22]} {toSpiData[0][21]} {toSpiData[0][20]} {toSpiData[0][19]} {toSpiData[0][18]} {toSpiData[0][17]} {toSpiData[0][16]} {toSpiData[0][15]} {toSpiData[0][14]} {toSpiData[0][13]} {toSpiData[0][12]} {toSpiData[0][11]} {toSpiData[0][10]} {toSpiData[0][9]} {toSpiData[0][8]} {toSpiData[0][7]} {toSpiData[0][6]} {toSpiData[0][5]} {toSpiData[0][4]} {toSpiData[0][3]} {toSpiData[0][2]} {toSpiData[0][1]} {toSpiData[0][0]}]]
- connect_debug_port u_ila_0/probe24 [get_nets [list {toSpiData[6][31]} {toSpiData[6][30]} {toSpiData[6][29]} {toSpiData[6][28]} {toSpiData[6][27]} {toSpiData[6][26]} {toSpiData[6][25]} {toSpiData[6][24]} {toSpiData[6][23]} {toSpiData[6][22]} {toSpiData[6][21]} {toSpiData[6][20]} {toSpiData[6][19]} {toSpiData[6][18]} {toSpiData[6][17]} {toSpiData[6][16]} {toSpiData[6][15]} {toSpiData[6][14]} {toSpiData[6][13]} {toSpiData[6][12]} {toSpiData[6][11]} {toSpiData[6][10]} {toSpiData[6][9]} {toSpiData[6][8]} {toSpiData[6][7]} {toSpiData[6][6]} {toSpiData[6][5]} {toSpiData[6][4]} {toSpiData[6][3]} {toSpiData[6][2]} {toSpiData[6][1]} {toSpiData[6][0]}]]
- connect_debug_port u_ila_0/probe25 [get_nets [list {SpiGen[0].DataFifoWrapper/RxFifoCtrlReg_o[2]}]]
- connect_debug_port u_ila_0/probe26 [get_nets [list {SpiGen[0].DataFifoWrapper/ToSpiData_o[0]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[1]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[2]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[3]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[4]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[5]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[6]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[7]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[8]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[9]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[10]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[11]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[12]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[13]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[14]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[15]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[16]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[17]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[18]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[19]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[20]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[21]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[22]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[23]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[24]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[25]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[26]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[27]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[28]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[29]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[30]} {SpiGen[0].DataFifoWrapper/ToSpiData_o[31]}]]
- connect_debug_port u_ila_0/probe27 [get_nets [list {SpiGen[6].DataFifoWrapper/RxFifoCtrlReg_o[1]} {SpiGen[6].DataFifoWrapper/RxFifoCtrlReg_o[2]}]]
- connect_debug_port u_ila_0/probe28 [get_nets [list {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoUpDnCnt_reg00_out[0]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoUpDnCnt_reg00_out[1]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoUpDnCnt_reg00_out[2]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoUpDnCnt_reg00_out[3]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoUpDnCnt_reg00_out[4]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoUpDnCnt_reg00_out[5]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoUpDnCnt_reg00_out[6]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoUpDnCnt_reg00_out[7]}]]
- connect_debug_port u_ila_0/probe29 [get_nets [list {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoWrPtr[0]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoWrPtr[1]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoWrPtr[2]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoWrPtr[3]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoWrPtr[4]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoWrPtr[5]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoWrPtr[6]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoWrPtr[7]}]]
- connect_debug_port u_ila_0/probe30 [get_nets [list {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtr[0]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtr[1]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtr[2]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtr[3]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtr[4]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtr[5]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtr[6]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtr[7]}]]
- connect_debug_port u_ila_0/probe31 [get_nets [list {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtrSync[0]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtrSync[1]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtrSync[2]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtrSync[3]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtrSync[4]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtrSync[5]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtrSync[6]} {SpiGen[0].DataFifoWrapper/FifoCtrl_inst/txFifoRdPtrSync[7]}]]
- connect_debug_port u_ila_0/probe32 [get_nets [list emptyFlagTx_0]]
- connect_debug_port u_ila_0/probe33 [get_nets [list {SpiGen[0].DataFifoWrapper/emptyFlagTxForDsp}]]
- connect_debug_port u_ila_0/probe34 [get_nets [list {SpiGen[6].DataFifoWrapper/emptyFlagTxForDsp}]]
- connect_debug_port u_ila_0/probe35 [get_nets [list {SpiGen[0].DataFifoWrapper/rxFifoRdEn}]]
- connect_debug_port u_ila_0/probe36 [get_nets [list {SpiGen[6].DataFifoWrapper/rxFifoRdEn}]]
- connect_debug_port u_ila_0/probe37 [get_nets [list {SpiGen[0].DataFifoWrapper/rxFifoWrEn}]]
- connect_debug_port u_ila_0/probe38 [get_nets [list {SpiGen[6].DataFifoWrapper/rxFifoWrEn}]]
- connect_debug_port u_ila_0/probe41 [get_nets [list spiTxEnSync_0]]
- connect_debug_port u_ila_0/probe42 [get_nets [list {SpiGen[6].DataFifoWrapper/txFifoRdEn}]]
- connect_debug_port u_ila_0/probe43 [get_nets [list {SpiGen[0].DataFifoWrapper/txFifoRdEn}]]
- connect_debug_port u_ila_0/probe44 [get_nets [list {SpiGen[0].DataFifoWrapper/txFifoWrEn}]]
- connect_debug_port u_ila_0/probe45 [get_nets [list {SpiGen[6].DataFifoWrapper/txFifoWrEn}]]
- connect_debug_port u_ila_0/probe46 [get_nets [list spiTxEnSync_6]]
- set_clock_groups -asynchronous -group [get_clocks [list Clk123_i [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]]]
- create_debug_core u_ila_0 ila
- set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0]
- set_property ALL_PROBE_SAME_MU_CNT 1 [get_debug_cores u_ila_0]
- set_property C_ADV_TRIGGER false [get_debug_cores u_ila_0]
- set_property C_DATA_DEPTH 1024 [get_debug_cores u_ila_0]
- set_property C_EN_STRG_QUAL false [get_debug_cores u_ila_0]
- set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0]
- set_property C_TRIGIN_EN false [get_debug_cores u_ila_0]
- set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0]
- set_property port_width 1 [get_debug_ports u_ila_0/clk]
- connect_debug_port u_ila_0/clk [get_nets [list gclk]]
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe0]
- set_property port_width 32 [get_debug_ports u_ila_0/probe0]
- connect_debug_port u_ila_0/probe0 [get_nets [list {toFifoData[0]} {toFifoData[1]} {toFifoData[2]} {toFifoData[3]} {toFifoData[4]} {toFifoData[5]} {toFifoData[6]} {toFifoData[7]} {toFifoData[8]} {toFifoData[9]} {toFifoData[10]} {toFifoData[11]} {toFifoData[12]} {toFifoData[13]} {toFifoData[14]} {toFifoData[15]} {toFifoData[16]} {toFifoData[17]} {toFifoData[18]} {toFifoData[19]} {toFifoData[20]} {toFifoData[21]} {toFifoData[22]} {toFifoData[23]} {toFifoData[24]} {toFifoData[25]} {toFifoData[26]} {toFifoData[27]} {toFifoData[28]} {toFifoData[29]} {toFifoData[30]} {toFifoData[31]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe1]
- set_property port_width 9 [get_debug_ports u_ila_0/probe1]
- connect_debug_port u_ila_0/probe1 [get_nets [list {toRegMapAddr[1]} {toRegMapAddr[2]} {toRegMapAddr[3]} {toRegMapAddr[4]} {toRegMapAddr[5]} {toRegMapAddr[6]} {toRegMapAddr[7]} {toRegMapAddr[8]} {toRegMapAddr[9]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe2]
- set_property port_width 16 [get_debug_ports u_ila_0/probe2]
- connect_debug_port u_ila_0/probe2 [get_nets [list {spi0RxFifoCtrl[0]} {spi0RxFifoCtrl[1]} {spi0RxFifoCtrl[2]} {spi0RxFifoCtrl[3]} {spi0RxFifoCtrl[4]} {spi0RxFifoCtrl[5]} {spi0RxFifoCtrl[6]} {spi0RxFifoCtrl[7]} {spi0RxFifoCtrl[8]} {spi0RxFifoCtrl[9]} {spi0RxFifoCtrl[10]} {spi0RxFifoCtrl[11]} {spi0RxFifoCtrl[12]} {spi0RxFifoCtrl[13]} {spi0RxFifoCtrl[14]} {spi0RxFifoCtrl[15]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe3]
- set_property port_width 16 [get_debug_ports u_ila_0/probe3]
- connect_debug_port u_ila_0/probe3 [get_nets [list {spi0TxFifoCtrl[0]} {spi0TxFifoCtrl[1]} {spi0TxFifoCtrl[2]} {spi0TxFifoCtrl[3]} {spi0TxFifoCtrl[4]} {spi0TxFifoCtrl[5]} {spi0TxFifoCtrl[6]} {spi0TxFifoCtrl[7]} {spi0TxFifoCtrl[8]} {spi0TxFifoCtrl[9]} {spi0TxFifoCtrl[10]} {spi0TxFifoCtrl[11]} {spi0TxFifoCtrl[12]} {spi0TxFifoCtrl[13]} {spi0TxFifoCtrl[14]} {spi0TxFifoCtrl[15]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe4]
- set_property port_width 8 [get_debug_ports u_ila_0/probe4]
- connect_debug_port u_ila_0/probe4 [get_nets [list {RegMap_inst/Spi0ClkReg_o[0]} {RegMap_inst/Spi0ClkReg_o[1]} {RegMap_inst/Spi0ClkReg_o[2]} {RegMap_inst/Spi0ClkReg_o[3]} {RegMap_inst/Spi0ClkReg_o[4]} {RegMap_inst/Spi0ClkReg_o[5]} {RegMap_inst/Spi0ClkReg_o[6]} {RegMap_inst/Spi0ClkReg_o[7]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe5]
- set_property port_width 11 [get_debug_ports u_ila_0/probe5]
- connect_debug_port u_ila_0/probe5 [get_nets [list {RegMap_inst/TxFifoCtrlReg0_i[0]} {RegMap_inst/TxFifoCtrlReg0_i[1]} {RegMap_inst/TxFifoCtrlReg0_i[2]} {RegMap_inst/TxFifoCtrlReg0_i[8]} {RegMap_inst/TxFifoCtrlReg0_i[9]} {RegMap_inst/TxFifoCtrlReg0_i[10]} {RegMap_inst/TxFifoCtrlReg0_i[11]} {RegMap_inst/TxFifoCtrlReg0_i[12]} {RegMap_inst/TxFifoCtrlReg0_i[13]} {RegMap_inst/TxFifoCtrlReg0_i[14]} {RegMap_inst/TxFifoCtrlReg0_i[15]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe6]
- set_property port_width 16 [get_debug_ports u_ila_0/probe6]
- connect_debug_port u_ila_0/probe6 [get_nets [list {spi0Ctrl[0]} {spi0Ctrl[1]} {spi0Ctrl[2]} {spi0Ctrl[3]} {spi0Ctrl[4]} {spi0Ctrl[5]} {spi0Ctrl[6]} {spi0Ctrl[7]} {spi0Ctrl[8]} {spi0Ctrl[9]} {spi0Ctrl[10]} {spi0Ctrl[11]} {spi0Ctrl[12]} {spi0Ctrl[13]} {spi0Ctrl[14]} {spi0Ctrl[15]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe7]
- set_property port_width 1 [get_debug_ports u_ila_0/probe7]
- connect_debug_port u_ila_0/probe7 [get_nets [list {Mosi0_o_OBUF[0]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe8]
- set_property port_width 1 [get_debug_ports u_ila_0/probe8]
- connect_debug_port u_ila_0/probe8 [get_nets [list {Mosi2_o_OBUF[0]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe9]
- set_property port_width 1 [get_debug_ports u_ila_0/probe9]
- connect_debug_port u_ila_0/probe9 [get_nets [list {Mosi3_o_OBUF[0]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe10]
- set_property port_width 11 [get_debug_ports u_ila_0/probe10]
- connect_debug_port u_ila_0/probe10 [get_nets [list {SmcAddr_i_IBUF[0]} {SmcAddr_i_IBUF[1]} {SmcAddr_i_IBUF[2]} {SmcAddr_i_IBUF[3]} {SmcAddr_i_IBUF[4]} {SmcAddr_i_IBUF[5]} {SmcAddr_i_IBUF[6]} {SmcAddr_i_IBUF[7]} {SmcAddr_i_IBUF[8]} {SmcAddr_i_IBUF[9]} {SmcAddr_i_IBUF[10]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe11]
- set_property port_width 1 [get_debug_ports u_ila_0/probe11]
- connect_debug_port u_ila_0/probe11 [get_nets [list {Sck_o_OBUF[0]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe12]
- set_property port_width 16 [get_debug_ports u_ila_0/probe12]
- connect_debug_port u_ila_0/probe12 [get_nets [list {SmcData_io_IBUF[0]} {SmcData_io_IBUF[1]} {SmcData_io_IBUF[2]} {SmcData_io_IBUF[3]} {SmcData_io_IBUF[4]} {SmcData_io_IBUF[5]} {SmcData_io_IBUF[6]} {SmcData_io_IBUF[7]} {SmcData_io_IBUF[8]} {SmcData_io_IBUF[9]} {SmcData_io_IBUF[10]} {SmcData_io_IBUF[11]} {SmcData_io_IBUF[12]} {SmcData_io_IBUF[13]} {SmcData_io_IBUF[14]} {SmcData_io_IBUF[15]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe13]
- set_property port_width 1 [get_debug_ports u_ila_0/probe13]
- connect_debug_port u_ila_0/probe13 [get_nets [list {SsFlash_o_OBUF[0]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe14]
- set_property port_width 1 [get_debug_ports u_ila_0/probe14]
- connect_debug_port u_ila_0/probe14 [get_nets [list {Ss_o_OBUF[0]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe15]
- set_property port_width 32 [get_debug_ports u_ila_0/probe15]
- connect_debug_port u_ila_0/probe15 [get_nets [list {dataFromRxFifo[0][31]} {dataFromRxFifo[0][30]} {dataFromRxFifo[0][29]} {dataFromRxFifo[0][28]} {dataFromRxFifo[0][27]} {dataFromRxFifo[0][26]} {dataFromRxFifo[0][25]} {dataFromRxFifo[0][24]} {dataFromRxFifo[0][23]} {dataFromRxFifo[0][22]} {dataFromRxFifo[0][21]} {dataFromRxFifo[0][20]} {dataFromRxFifo[0][19]} {dataFromRxFifo[0][18]} {dataFromRxFifo[0][17]} {dataFromRxFifo[0][16]} {dataFromRxFifo[0][15]} {dataFromRxFifo[0][14]} {dataFromRxFifo[0][13]} {dataFromRxFifo[0][12]} {dataFromRxFifo[0][11]} {dataFromRxFifo[0][10]} {dataFromRxFifo[0][9]} {dataFromRxFifo[0][8]} {dataFromRxFifo[0][7]} {dataFromRxFifo[0][6]} {dataFromRxFifo[0][5]} {dataFromRxFifo[0][4]} {dataFromRxFifo[0][3]} {dataFromRxFifo[0][2]} {dataFromRxFifo[0][1]} {dataFromRxFifo[0][0]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA [get_debug_ports u_ila_0/probe16]
- set_property port_width 16 [get_debug_ports u_ila_0/probe16]
- connect_debug_port u_ila_0/probe16 [get_nets [list {ansData[0]} {ansData[1]} {ansData[2]} {ansData[3]} {ansData[4]} {ansData[5]} {ansData[6]} {ansData[7]} {ansData[8]} {ansData[9]} {ansData[10]} {ansData[11]} {ansData[12]} {ansData[13]} {ansData[14]} {ansData[15]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe17]
- set_property port_width 1 [get_debug_ports u_ila_0/probe17]
- connect_debug_port u_ila_0/probe17 [get_nets [list {SpiRst_o_OBUF[0]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe18]
- set_property port_width 16 [get_debug_ports u_ila_0/probe18]
- connect_debug_port u_ila_0/probe18 [get_nets [list {spi0CsCtrl[0]} {spi0CsCtrl[1]} {spi0CsCtrl[2]} {spi0CsCtrl[3]} {spi0CsCtrl[4]} {spi0CsCtrl[5]} {spi0CsCtrl[6]} {spi0CsCtrl[7]} {spi0CsCtrl[8]} {spi0CsCtrl[9]} {spi0CsCtrl[10]} {spi0CsCtrl[11]} {spi0CsCtrl[12]} {spi0CsCtrl[13]} {spi0CsCtrl[14]} {spi0CsCtrl[15]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe19]
- set_property port_width 16 [get_debug_ports u_ila_0/probe19]
- connect_debug_port u_ila_0/probe19 [get_nets [list {spi0CsDelay[0]} {spi0CsDelay[1]} {spi0CsDelay[2]} {spi0CsDelay[3]} {spi0CsDelay[4]} {spi0CsDelay[5]} {spi0CsDelay[6]} {spi0CsDelay[7]} {spi0CsDelay[8]} {spi0CsDelay[9]} {spi0CsDelay[10]} {spi0CsDelay[11]} {spi0CsDelay[12]} {spi0CsDelay[13]} {spi0CsDelay[14]} {spi0CsDelay[15]}]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe20]
- set_property port_width 1 [get_debug_ports u_ila_0/probe20]
- connect_debug_port u_ila_0/probe20 [get_nets [list SmcAre_i_IBUF]]
- create_debug_port u_ila_0 probe
- set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe21]
- set_property port_width 1 [get_debug_ports u_ila_0/probe21]
- connect_debug_port u_ila_0/probe21 [get_nets [list SmcAwe_i_IBUF]]
- set_clock_groups -logically_exclusive -group [get_clocks [list [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] [get_clocks -of_objects [get_pins ClkManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]]]
- set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub]
- set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub]
- set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub]
- connect_debug_port dbg_hub/clk [get_nets gclk]
|