S5443_3Top.v 20 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748
  1. `timescale 1ns / 1ps
  2. //////////////////////////////////////////////////////////////////////////////////
  3. // Company:
  4. // Engineer:
  5. //
  6. // Create Date: 10/30/2023 11:24:31 AM
  7. // Design Name:
  8. // Module Name: S5443_3Top
  9. // Project Name:
  10. // Target Devices:
  11. // Tool Versions:
  12. // Description:
  13. //
  14. // Dependencies:
  15. //
  16. // Revision:
  17. // Revision 0.01 - File Created
  18. // Additional Comments:
  19. //
  20. //////////////////////////////////////////////////////////////////////////////////
  21. module S5443_3Top
  22. #(
  23. parameter CmdRegWidth = 32,
  24. parameter AddrRegWidth = 12,
  25. parameter SpiNum = 7
  26. )
  27. (
  28. input Clk123_i,
  29. input [AddrRegWidth-2:0] SmcAddr_i,
  30. inout [CmdRegWidth/2-1:0] SmcData_i,
  31. input SmcAwe_i,
  32. input SmcAmsN_i,
  33. input SmcAre_i,
  34. input [1:0] SmcBe_i,
  35. input SmcAoe_i,
  36. output [SpiNum-1:0] Ld_i,
  37. output Led_o,
  38. output [SpiNum-1:0] Mosi0_o,
  39. output [SpiNum-1:0] Mosi1_o,
  40. output [SpiNum-1:0] Mosi2_o,
  41. output [SpiNum-1:0] Mosi3_o,
  42. output [SpiNum-1:0] Ss_o,
  43. output [SpiNum-1:0] SsFlash_o,
  44. output [SpiNum-1:0] Sck_o,
  45. output [SpiNum-1:0] SpiRst_o,
  46. output LD_o
  47. );
  48. //================================================================================
  49. // REG/WIRE
  50. //================================================================================
  51. wire Clk100_i;
  52. wire [SpiNum-1:0]Sck;
  53. wire [AddrRegWidth-1:0] addr;
  54. wire [SpiNum-1:0] Ss;
  55. wire [SpiNum-1:0]Mosi0;
  56. wire [SpiNum-1:0]Mosi1;
  57. wire [SpiNum-1:0]Mosi2;
  58. wire [SpiNum-1:0]Mosi3;
  59. wire [SpiNum-1:0] ten;
  60. wire clk80;
  61. wire clk61;
  62. wire initRst;
  63. wire gclk;
  64. wire [0:15] baudRate [SpiNum-1:0];
  65. //SPI0
  66. wire [CmdRegWidth-1:0] spi0Ctrl;
  67. wire [CmdRegWidth-1:0] spi0Clk;
  68. wire [CmdRegWidth-1:0] spi0CsDelay;
  69. wire [CmdRegWidth-1:0] spi0CsCtrl;
  70. wire [CmdRegWidth-1:0] spi0TxFifoCtrl;
  71. wire [CmdRegWidth-1:0] spi0RxFifoCtrl;
  72. wire [CmdRegWidth-1:0] spi0TxFifo;
  73. wire [CmdRegWidth-1:0] spi0RxFifo;
  74. //SPI1
  75. wire [CmdRegWidth-1:0] spi1Ctrl;
  76. wire [CmdRegWidth-1:0] spi1Clk;
  77. wire [CmdRegWidth-1:0] spi1CsDelay;
  78. wire [CmdRegWidth-1:0] spi1CsCtrl;
  79. wire [CmdRegWidth-1:0] spi1TxFifoCtrl;
  80. wire [CmdRegWidth-1:0] spi1RxFifoCtrl;
  81. wire [CmdRegWidth-1:0] spi1TxFifo;
  82. wire [CmdRegWidth-1:0] spi1RxFifo;
  83. //SPI2
  84. wire [CmdRegWidth-1:0] spi2Ctrl;
  85. wire [CmdRegWidth-1:0] spi2Clk;
  86. wire [CmdRegWidth-1:0] spi2CsDelay;
  87. wire [CmdRegWidth-1:0] spi2CsCtrl;
  88. wire [CmdRegWidth-1:0] spi2TxFifoCtrl;
  89. wire [CmdRegWidth-1:0] spi2RxFifoCtrl;
  90. wire [CmdRegWidth-1:0] spi2TxFifo;
  91. wire [CmdRegWidth-1:0] Spi2RxFifo;
  92. //SPI3
  93. wire [CmdRegWidth-1:0] spi3Ctrl;
  94. wire [CmdRegWidth-1:0] spi3Clk;
  95. wire [CmdRegWidth-1:0] spi3CsDelay;
  96. wire [CmdRegWidth-1:0] spi3CsCtrl;
  97. wire [CmdRegWidth-1:0] spi3TxFifoCtrl;
  98. wire [CmdRegWidth-1:0] spi3RxFifoCtrl;
  99. wire [CmdRegWidth-1:0] Spi3TxFifo;
  100. wire [CmdRegWidth-1:0] Spi3RxFifo;
  101. //SPI4
  102. wire [CmdRegWidth-1:0] spi4Ctrl;
  103. wire [CmdRegWidth-1:0] spi4Clk;
  104. wire [CmdRegWidth-1:0] spi4CsDelay;
  105. wire [CmdRegWidth-1:0] spi4CsCtrl;
  106. wire [CmdRegWidth-1:0] spi4TxFifoCtrl;
  107. wire [CmdRegWidth-1:0] spi4RxFifoCtrl;
  108. wire [CmdRegWidth-1:0] Spi4TxFifo;
  109. wire [CmdRegWidth-1:0] Spi4RxFifo;
  110. //SPI5
  111. wire [CmdRegWidth-1:0] spi5Ctrl;
  112. wire [CmdRegWidth-1:0] spi5Clk;
  113. wire [CmdRegWidth-1:0] spi5CsDelay;
  114. wire [CmdRegWidth-1:0] spi5CsCtrl;
  115. wire [CmdRegWidth-1:0] spi5TxFifoCtrl;
  116. wire [CmdRegWidth-1:0] spi5RxFifoCtrl;
  117. wire [CmdRegWidth-1:0] Spi5TxFifo;
  118. wire [CmdRegWidth-1:0] Spi5RxFifo;
  119. //SPI6
  120. wire [CmdRegWidth-1:0] spi6Ctrl;
  121. wire [CmdRegWidth-1:0] spi6Clk;
  122. wire [CmdRegWidth-1:0] spi6CsDelay;
  123. wire [CmdRegWidth-1:0] spi6CsCtrl;
  124. wire [CmdRegWidth-1:0] spi6TxFifoCtrl;
  125. wire [CmdRegWidth-1:0] spi6RxFifoCtrl;
  126. wire [CmdRegWidth-1:0] Spi6TxFifo;
  127. wire [CmdRegWidth-1:0] Spi6RxFifo;
  128. wire [CmdRegWidth-1:0] SpiTxRxEn;
  129. wire [CmdRegWidth-1:0] GPIOA;
  130. wire [AddrRegWidth-1:0] toRegMapAddr;
  131. wire [CmdRegWidth/2-1:0] toRegMapData;
  132. wire toRegMapVal;
  133. wire [SpiNum-1:0] toFifoVal;
  134. wire [CmdRegWidth*SpiNum-1:0] toFifoData;
  135. wire [SpiNum-1:0] toSpiVal;
  136. wire [CmdRegWidth-1:0] toSpiData;
  137. wire [0:1] widthSel [SpiNum-1:0];
  138. wire [SpiNum-1:0] CPOL;
  139. wire [SpiNum-1:0] CPHA;
  140. wire [SpiNum-1:0] endianSel;
  141. wire [SpiNum-1:0] selSt;
  142. wire [SpiNum-1:0] spiMode;
  143. wire [0:5] stopDelay [SpiNum-1:0];
  144. wire [SpiNum-1:0] leadx;
  145. wire [SpiNum-1:0] lag;
  146. wire [SpiNum-1:0] fifoRxRst;
  147. wire [SpiNum-1:0] fifoTxRst;
  148. wire [0:7] wordCntTx [SpiNum-1:0];
  149. wire [0:7] wordCntRx [SpiNum-1:0];
  150. wire [SpiNum-1:0] CS0;
  151. wire [SpiNum-1:0] CS1;
  152. wire [SpiNum-1:0] Assel;
  153. wire [SpiNum-1:0] spiClkBus;
  154. wire [SpiNum-1:0] spiSyncRst;
  155. wire [AddrRegWidth-1:0] smcAddr;
  156. wire [CmdRegWidth/2-1:0] smcData;
  157. wire smcVal;
  158. //RxFifo
  159. wire [0:23] dataToRxFifo [SpiNum-1:0];
  160. wire [0:7] addrToRxFifo [SpiNum-1:0];
  161. wire [SpiNum-1:0] valToRxFifo;
  162. wire [SpiNum-1:0] valToTxFifoRead;
  163. // SPI mode choice
  164. wire [SpiNum-1:0] SckR;
  165. wire [SpiNum-1:0] SsR;
  166. wire [SpiNum-1:0] Mosi0R;
  167. wire [SpiNum-1:0] valReg;
  168. wire [SpiNum-1:0] valToTxR;
  169. wire [SpiNum-1:0] valToRxR;
  170. wire [0:31] dataToRxFifoR [SpiNum-1:0];
  171. wire [SpiNum-1:0] SckQ;
  172. wire [SpiNum-1:0] SsQ;
  173. wire [SpiNum-1:0] Mosi0Q;
  174. wire [SpiNum-1:0] valToTxQ;
  175. wire [SpiNum-1:0] valToRxQ;
  176. wire [0:31] dataToRxFifoQ [SpiNum-1:0];
  177. wire [0:15] dataFromRxFifo [SpiNum-1:0];
  178. reg [15:0] dataFromRxFifoR;
  179. wire [15:0] dataFromRxFifoW;
  180. wire [CmdRegWidth/2-1:0] ansData;
  181. //================================================================================
  182. // ASSIGNMENTS
  183. //================================================================================
  184. assign ten = SpiTxRxEn[6:0];
  185. assign Mosi1_o = Mosi1;
  186. assign Mosi2_o = Mosi2;
  187. assign Mosi3_o = Mosi3;
  188. assign Ss_o[0] = (Assel[0])? ((CS0[0])? Ss[0]:~Ss[0]):CS0[0];
  189. assign Ss_o[1] = (Assel[1])? ((CS0[1])? Ss[1]:~Ss[1]):CS0[1];
  190. assign Ss_o[2] = (Assel[2])? ((CS0[2])? Ss[2]:~Ss[2]):CS0[2];
  191. assign Ss_o[3] = (Assel[3])? ((CS0[3])? Ss[3]:~Ss[3]):CS0[3];
  192. assign Ss_o[4] = (Assel[4])? ((CS0[4])? Ss[4]:~Ss[4]):CS0[4];
  193. assign Ss_o[5] = (Assel[5])? ((CS0[5])? Ss[5]:~Ss[5]):CS0[5];
  194. assign Ss_o[6] = (Assel[6])? ((CS0[6])? Ss[6]:~Ss[6]):CS0[6];
  195. assign SsFlash_o[0] = (Assel[0])?(CS1[0]? Ss[0]:~Ss[0]):CS1[0];
  196. assign SsFlash_o[1] = (Assel[1])?(CS1[1]? Ss[1]:~Ss[1]):CS1[1];
  197. assign SsFlash_o[2] = (Assel[2])?(CS1[2]? Ss[2]:~Ss[2]):CS1[2];
  198. assign SsFlash_o[3] = (Assel[3])?(CS1[3]? Ss[3]:~Ss[3]):CS1[3];
  199. assign SsFlash_o[4] = (Assel[4])?(CS1[4]? Ss[4]:~Ss[4]):CS1[4];
  200. assign SsFlash_o[5] = (Assel[5])?(CS1[5]? Ss[5]:~Ss[5]):CS1[5];
  201. assign SsFlash_o[6] = (Assel[6])?(CS1[6]? Ss[6]:~Ss[6]):CS1[6];
  202. assign Sck_o = Sck;
  203. assign widthSel[0] = spi0Ctrl[6:5];
  204. assign widthSel[1] = spi1Ctrl[6:5];
  205. assign widthSel[2] = spi2Ctrl[6:5];
  206. assign widthSel[3] = spi3Ctrl[6:5];
  207. assign widthSel[4] = spi4Ctrl[6:5];
  208. assign widthSel[5] = spi5Ctrl[6:5];
  209. assign widthSel[6] = spi6Ctrl[6:5];
  210. assign spiMode[0] = spi0Ctrl[7];
  211. assign spiMode[1] = spi1Ctrl[7];
  212. assign spiMode[2] = spi2Ctrl[7];
  213. assign spiMode[3] = spi3Ctrl[7];
  214. assign spiMode[4] = spi4Ctrl[7];
  215. assign spiMode[5] = spi5Ctrl[7];
  216. assign spiMode[6] = spi6Ctrl[7];
  217. assign CPOL[0] = spi0Ctrl[2];
  218. assign CPOL[1] = spi1Ctrl[2];
  219. assign CPOL[2] = spi2Ctrl[2];
  220. assign CPOL[3] = spi3Ctrl[2];
  221. assign CPOL[4] = spi4Ctrl[2];
  222. assign CPOL[5] = spi5Ctrl[2];
  223. assign CPOL[6] = spi6Ctrl[2];
  224. assign CPHA[0] = spi0Ctrl[1];
  225. assign CPHA[1] = spi1Ctrl[1];
  226. assign CPHA[2] = spi2Ctrl[1];
  227. assign CPHA[3] = spi3Ctrl[1];
  228. assign CPHA[4] = spi4Ctrl[1];
  229. assign CPHA[5] = spi5Ctrl[1];
  230. assign CPHA[6] = spi6Ctrl[1];
  231. assign endianSel[0] = spi0Ctrl[8];
  232. assign endianSel[1] = spi1Ctrl[8];
  233. assign endianSel[2] = spi2Ctrl[8];
  234. assign endianSel[3] = spi3Ctrl[8];
  235. assign endianSel[4] = spi4Ctrl[8];
  236. assign endianSel[5] = spi5Ctrl[8];
  237. assign endianSel[6] = spi6Ctrl[8];
  238. assign selSt[0] = spi0Ctrl[4];
  239. assign selSt[1] = spi1Ctrl[4];
  240. assign selSt[2] = spi2Ctrl[4];
  241. assign selSt[3] = spi3Ctrl[4];
  242. assign selSt[4] = spi4Ctrl[4];
  243. assign selSt[5] = spi5Ctrl[4];
  244. assign selSt[6] = spi6Ctrl[4];
  245. assign Assel[0] = spi0Ctrl[3];
  246. assign Assel[1] = spi1Ctrl[3];
  247. assign Assel[2] = spi2Ctrl[3];
  248. assign Assel[3] = spi3Ctrl[3];
  249. assign Assel[4] = spi4Ctrl[3];
  250. assign Assel[5] = spi5Ctrl[3];
  251. assign Assel[6] = spi6Ctrl[3];
  252. assign stopDelay[0] = spi0CsDelay[7:2];
  253. assign stopDelay[1] = spi1CsDelay[7:2];
  254. assign stopDelay[2] = spi2CsDelay[7:2];
  255. assign stopDelay[3] = spi3CsDelay[7:2];
  256. assign stopDelay[4] = spi4CsDelay[7:2];
  257. assign stopDelay[5] = spi5CsDelay[7:2];
  258. assign stopDelay[6] = spi6CsDelay[7:2];
  259. assign leadx[0] = spi0CsDelay[1];
  260. assign leadx[1] = spi1CsDelay[1];
  261. assign leadx[2] = spi2CsDelay[1];
  262. assign leadx[3] = spi3CsDelay[1];
  263. assign leadx[4] = spi4CsDelay[1];
  264. assign leadx[5] = spi5CsDelay[1];
  265. assign leadx[6] = spi6CsDelay[1];
  266. assign lag[0] = spi0CsDelay[0];
  267. assign lag[1] = spi1CsDelay[0];
  268. assign lag[2] = spi2CsDelay[0];
  269. assign lag[3] = spi3CsDelay[0];
  270. assign lag[4] = spi4CsDelay[0];
  271. assign lag[5] = spi5CsDelay[0];
  272. assign lag[6] = spi6CsDelay[0];
  273. assign baudRate[0] = spi0Clk[15:0];
  274. assign baudRate[1] = spi1Clk[15:0];
  275. assign baudRate[2] = spi2Clk[15:0];
  276. assign baudRate[3] = spi3Clk[15:0];
  277. assign baudRate[4] = spi4Clk[15:0];
  278. assign baudRate[5] = spi5Clk[15:0];
  279. assign baudRate[6] = spi6Clk[15:0];
  280. assign SpiRst_o[0] = GPIOA[0];
  281. assign SpiRst_o[1] = GPIOA[1];
  282. assign SpiRst_o[2] = GPIOA[2];
  283. assign SpiRst_o[3] = GPIOA[3];
  284. assign SpiRst_o[4] = GPIOA[4];
  285. assign SpiRst_o[5] = GPIOA[5];
  286. assign SpiRst_o[6] = GPIOA[6];
  287. assign fifoRxRst[0] = spi0RxFifoCtrl[0];
  288. assign fifoRxRst[1] = spi1RxFifoCtrl[0];
  289. assign fifoRxRst[2] = spi2RxFifoCtrl[0];
  290. assign fifoRxRst[3] = spi3RxFifoCtrl[0];
  291. assign fifoRxRst[4] = spi4RxFifoCtrl[0];
  292. assign fifoRxRst[5] = spi5RxFifoCtrl[0];
  293. assign fifoRxRst[6] = spi6RxFifoCtrl[0];
  294. assign fifoTxRst[0] = spi0TxFifoCtrl[0];
  295. assign fifoTxRst[1] = spi1TxFifoCtrl[0];
  296. assign fifoTxRst[2] = spi2TxFifoCtrl[0];
  297. assign fifoTxRst[3] = spi3TxFifoCtrl[0];
  298. assign fifoTxRst[4] = spi4TxFifoCtrl[0];
  299. assign fifoTxRst[5] = spi5TxFifoCtrl[0];
  300. assign fifoTxRst[6] = spi6TxFifoCtrl[0];
  301. assign Ld_i[0] = GPIOA[16];
  302. assign Ld_i[1] = GPIOA[17];
  303. assign Ld_i[2] = GPIOA[18];
  304. assign Ld_i[3] = GPIOA[19];
  305. assign Ld_i[4] = GPIOA[20];
  306. assign Ld_i[5] = GPIOA[21];
  307. assign Ld_i[6] = GPIOA[22];
  308. assign LD_o = Ld_i[0]&Ld_i[1]&Ld_i[2]&Ld_i[3]&Ld_i[4]&Ld_i[5]&Ld_i[6];
  309. assign wordCntRx[0] = spi0RxFifoCtrl[15:8];
  310. assign wordCntRx[1] = spi1RxFifoCtrl[15:8];
  311. assign wordCntRx[2] = spi2RxFifoCtrl[15:8];
  312. assign wordCntRx[3] = spi3RxFifoCtrl[15:8];
  313. assign wordCntRx[4] = spi4RxFifoCtrl[15:8];
  314. assign wordCntRx[5] = spi5RxFifoCtrl[15:8];
  315. assign wordCntRx[6] = spi6RxFifoCtrl[15:8];
  316. assign wordCntTx[0] = spi0TxFifoCtrl[15:8];
  317. assign wordCntTx[1] = spi1TxFifoCtrl[15:8];
  318. assign wordCntTx[2] = spi2TxFifoCtrl[15:8];
  319. assign wordCntTx[3] = spi3TxFifoCtrl[15:8];
  320. assign wordCntTx[4] = spi4TxFifoCtrl[15:8];
  321. assign wordCntTx[5] = spi5TxFifoCtrl[15:8];
  322. assign wordCntTx[6] = spi6TxFifoCtrl[15:8];
  323. assign CS0[0] = spi0CsCtrl[0];
  324. assign CS0[1] = spi1CsCtrl[0];
  325. assign CS0[2] = spi2CsCtrl[0];
  326. assign CS0[3] = spi3CsCtrl[0];
  327. assign CS0[4] = spi4CsCtrl[0];
  328. assign CS0[5] = spi5CsCtrl[0];
  329. assign CS0[6] = spi6CsCtrl[0];
  330. assign CS1[0] = spi0CsCtrl[1];
  331. assign CS1[1] = spi1CsCtrl[1];
  332. assign CS1[2] = spi2CsCtrl[1];
  333. assign CS1[3] = spi3CsCtrl[1];
  334. assign CS1[4] = spi4CsCtrl[1];
  335. assign CS1[5] = spi5CsCtrl[1];
  336. assign CS1[6] = spi6CsCtrl[1];
  337. assign Ss[0] = (spiMode)? SsQ[0]:SsR[0];
  338. assign Ss[1] = (spiMode)? SsQ[1]:SsR[1];
  339. assign Ss[2] = (spiMode)? SsQ[2]:SsR[2];
  340. assign Ss[3] = (spiMode)? SsQ[3]:SsR[3];
  341. assign Ss[4] = (spiMode)? SsQ[4]:SsR[4];
  342. assign Ss[5] = (spiMode)? SsQ[5]:SsR[5];
  343. assign Ss[6] = (spiMode)? SsQ[6]:SsR[6];
  344. assign Sck[0] = (spiMode)? SckQ[0]:SckR[0];
  345. assign Sck[1] = (spiMode)? SckQ[1]:SckR[1];
  346. assign Sck[2] = (spiMode)? SckQ[2]:SckR[2];
  347. assign Sck[3] = (spiMode)? SckQ[3]:SckR[3];
  348. assign Sck[4] = (spiMode)? SckQ[4]:SckR[4];
  349. assign Sck[5] = (spiMode)? SckQ[5]:SckR[5];
  350. assign Sck[6] = (spiMode)? SckQ[6]:SckR[6];
  351. assign Mosi0[0] = (spiMode)? Mosi0Q[0]:valReg[0];
  352. assign Mosi0[1] = (spiMode)? Mosi0Q[1]:valReg[1];
  353. assign Mosi0[2] = (spiMode)? Mosi0Q[2]:valReg[2];
  354. assign Mosi0[3] = (spiMode)? Mosi0Q[3]:valReg[3];
  355. assign Mosi0[4] = (spiMode)? Mosi0Q[4]:valReg[4];
  356. assign Mosi0[5] = (spiMode)? Mosi0Q[5]:valReg[5];
  357. assign Mosi0[6] = (spiMode)? Mosi0Q[6]:valReg[6];
  358. assign valToTxFifoRead[0] = (spiMode)? valToTxQ[0]:valToTxR[0];
  359. assign valToTxFifoRead[1] = (spiMode)? valToTxQ[1]:valToTxR[1];
  360. assign valToTxFifoRead[2] = (spiMode)? valToTxQ[2]:valToTxR[2];
  361. assign valToTxFifoRead[3] = (spiMode)? valToTxQ[3]:valToTxR[3];
  362. assign valToTxFifoRead[4] = (spiMode)? valToTxQ[4]:valToTxR[4];
  363. assign valToTxFifoRead[5] = (spiMode)? valToTxQ[5]:valToTxR[5];
  364. assign valToTxFifoRead[6] = (spiMode)? valToTxQ[6]:valToTxR[6];
  365. assign valToRxFifo[0] = (spiMode)? valToRxQ[0]:valToRxR[0];
  366. assign valToRxFifo[1] = (spiMode)? valToRxQ[1]:valToRxR[1];
  367. assign valToRxFifo[2] = (spiMode)? valToRxQ[2]:valToRxR[2];
  368. assign valToRxFifo[3] = (spiMode)? valToRxQ[3]:valToRxR[3];
  369. assign valToRxFifo[4] = (spiMode)? valToRxQ[4]:valToRxR[4];
  370. assign valToRxFifo[5] = (spiMode)? valToRxQ[5]:valToRxR[5];
  371. assign valToRxFifo[6] = (spiMode)? valToRxQ[6]:valToRxR[6];
  372. assign dataToRxFifo[0] = (spiMode)? dataToRxFifoQ[0]:dataToRxFifoR[0];
  373. assign dataToRxFifo[1] = (spiMode)? dataToRxFifoQ[1]:dataToRxFifoR[1];
  374. assign dataToRxFifo[2] = (spiMode)? dataToRxFifoQ[2]:dataToRxFifoR[2];
  375. assign dataToRxFifo[3] = (spiMode)? dataToRxFifoQ[3]:dataToRxFifoR[3];
  376. assign dataToRxFifo[4] = (spiMode)? dataToRxFifoQ[4]:dataToRxFifoR[4];
  377. assign dataToRxFifo[5] = (spiMode)? dataToRxFifoQ[5]:dataToRxFifoR[5];
  378. assign dataToRxFifo[6] = (spiMode)? dataToRxFifoQ[6]:dataToRxFifoR[6];
  379. assign dataFromRxFifoW = dataFromRxFifoR;
  380. //================================================================================
  381. // CODING
  382. //================================================================================
  383. always @(*) begin
  384. if (initRst) begin
  385. dataFromRxFifoR = 16'b0;
  386. end
  387. else begin
  388. case (Ss_o)
  389. 7'b0000001: begin
  390. dataFromRxFifoR = dataFromRxFifo[0];
  391. end
  392. 7'b0000010: begin
  393. dataFromRxFifoR = dataFromRxFifo[1];
  394. end
  395. 7'b0000011: begin
  396. dataFromRxFifoR = dataFromRxFifo[2];
  397. end
  398. 7'b0000100: begin
  399. dataFromRxFifoR = dataFromRxFifo[3];
  400. end
  401. 7'b0000101: begin
  402. dataFromRxFifoR = dataFromRxFifo[4];
  403. end
  404. 7'b0000110: begin
  405. dataFromRxFifoR = dataFromRxFifo[5];
  406. end
  407. 7'b0000111: begin
  408. dataFromRxFifoR = dataFromRxFifo[6];
  409. end
  410. default: dataFromRxFifoR = 16'b0;
  411. endcase
  412. end
  413. end
  414. BUFG BUFG_inst (
  415. .O(gclk), // 1-bit output: Clock output
  416. .I(Clk123_i) // 1-bit input: Clock input
  417. );
  418. SmcRx SmcRx
  419. (
  420. .Clk_i (gclk),
  421. .Rst_i (initRst),
  422. .SmcD_i (SmcData_i),
  423. .SmcA_i (SmcAddr_i),
  424. .SmcAwe_i (SmcAwe_i),
  425. .SmcAmsN_i (SmcAmsN_i),
  426. .SmcAoe_i (SmcAoe_i),
  427. .SmcAre_i (SmcAre_i),
  428. .SmcBe_i (SmcBe_i),
  429. .AnsData_i (ansData),
  430. .Data_o (smcData),
  431. .Addr_o (smcAddr),
  432. .Val_o (smcVal)
  433. );
  434. SmcDataMux SmcDataMuxer
  435. (
  436. .Clk_i (gclk),
  437. .Rst_i (initRst),
  438. .SmcVal_i (smcVal),
  439. .SmcData_i (smcData),
  440. .SmcAddr_i (smcAddr),
  441. .ToRegMapVal_o (toRegMapVal),
  442. .ToRegMapData_o (toRegMapData),
  443. .ToRegMapAddr_o (toRegMapAddr),
  444. .ToFifoVal_o (toFifoVal),
  445. .ToFifoData_o (toFifoData)
  446. );
  447. RegMap
  448. #(
  449. .CmdRegWidth(32),
  450. .AddrRegWidth(12)
  451. )
  452. RegMap_inst
  453. (
  454. .Clk_i(gclk),
  455. .Rst_i(initRst),
  456. .Data_i(toRegMapData),
  457. .Addr_i(toRegMapAddr),
  458. .Val_i(toRegMapVal),
  459. .SmcBe_i(SmcBe_i),
  460. .Led_o(Led_o),
  461. .AnsDataReg_o(ansData),
  462. //Spi0
  463. .Spi0CtrlReg_o(spi0Ctrl),
  464. .Spi0ClkReg_o(spi0Clk),
  465. .Spi0CsDelayReg_o(spi0CsDelay),
  466. .Spi0CsCtrlReg_o(spi0CsCtrl),
  467. .Spi0TxFifoCtrlReg_o(spi0TxFifoCtrl),
  468. .Spi0RxFifoCtrlReg_o(spi0RxFifoCtrl),
  469. .Spi0TxFifoReg_o(spi0TxFifo),
  470. .Spi0RxFifoReg_o(spi0RxFifo),
  471. //Spi1
  472. .Spi1CtrlReg_o(spi1Ctrl),
  473. .Spi1ClkReg_o(spi1Clk),
  474. .Spi1CsDelayReg_o(spi1CsDelay),
  475. .Spi1CsCtrlReg_o(spi1CsCtrl),
  476. .Spi1TxFifoCtrlReg_o(spi1TxFifoCtrl),
  477. .Spi1RxFifoCtrlReg_o(spi1RxFifoCtrl),
  478. .Spi1TxFifoReg_o(spi1TxFifo),
  479. .Spi1RxFifoReg_o(spi1RxFifo),
  480. //Spi2
  481. .Spi2CtrlReg_o(spi2Ctrl),
  482. .Spi2ClkReg_o(spi2Clk),
  483. .Spi2CsDelayReg_o(spi2CsDelay),
  484. .Spi2CsCtrlReg_o(spi2CsCtrl),
  485. .Spi2TxFifoCtrlReg_o(spi2TxFifoCtrl),
  486. .Spi2RxFifoCtrlReg_o(spi2RxFifoCtrl),
  487. .Spi2TxFifoReg_o(spi2TxFifo),
  488. .Spi2RxFifoReg_o(Spi2RxFifo),
  489. //Spi3
  490. .Spi3CtrlReg_o(spi3Ctrl),
  491. .Spi3ClkReg_o(spi3Clk),
  492. .Spi3CsDelayReg_o(spi3CsDelay),
  493. .Spi3CsCtrlReg_o(spi3CsCtrl),
  494. .Spi3TxFifoCtrlReg_o(spi3TxFifoCtrl),
  495. .Spi3RxFifoCtrlReg_o(spi3RxFifoCtrl),
  496. .Spi3TxFifoReg_o(Spi3TxFifo),
  497. .Spi3RxFifoReg_o(Spi3RxFifo),
  498. //Spi4
  499. .Spi4CtrlReg_o(spi4Ctrl),
  500. .Spi4ClkReg_o(spi4Clk),
  501. .Spi4CsDelayReg_o(spi4CsDelay),
  502. .Spi4CsCtrlReg_o(spi4CsCtrl),
  503. .Spi4TxFifoCtrlReg_o(spi4TxFifoCtrl),
  504. .Spi4RxFifoCtrlReg_o(spi4RxFifoCtrl),
  505. .Spi4TxFifoReg_o(Spi4TxFifo),
  506. .Spi4RxFifoReg_o(Spi4RxFifo),
  507. //Spi5
  508. .Spi5CtrlReg_o(spi5Ctrl),
  509. .Spi5ClkReg_o(spi5Clk),
  510. .Spi5CsDelayReg_o(spi5CsDelay),
  511. .Spi5CsCtrlReg_o(spi5CsCtrl),
  512. .Spi5TxFifoCtrlReg_o(spi5TxFifoCtrl),
  513. .Spi5RxFifoCtrlReg_o(spi5RxFifoCtrl),
  514. .Spi5TxFifoReg_o(Spi5TxFifo),
  515. .Spi5RxFifoReg_o(Spi5RxFifo),
  516. //Spi6
  517. .Spi6CtrlReg_o(spi6Ctrl),
  518. .Spi6ClkReg_o(spi6Clk),
  519. .Spi6CsDelayReg_o(spi6CsDelay),
  520. .Spi6CsCtrlReg_o(spi6CsCtrl),
  521. .Spi6TxFifoCtrlReg_o(spi6TxFifoCtrl),
  522. .Spi6RxFifoCtrlReg_o(spi6RxFifoCtrl),
  523. .Spi6TxFifoReg_o(Spi6TxFifo),
  524. .Spi6RxFifoReg_o(Spi6RxFifo),
  525. .SpiTxRxEnReg_o(SpiTxRxEn),
  526. .GPIOAReg_o(GPIOA)
  527. );
  528. MmcmWrapper MainMmcm
  529. (
  530. .Clk_i (gclk),
  531. .Rst_i (initRst),
  532. .SpiCLk_o (spiClkBus)
  533. );
  534. genvar i;
  535. generate
  536. for (i = 0; i < SpiNum; i = i + 1) begin: SpiGen
  537. // RstSync SpiRstSync
  538. // (
  539. // .Clk_i (spiClkBus[i]),
  540. // .Rst_i (initRst),
  541. // .Rst_o (spiSyncRst[i])
  542. // );
  543. DataFifoWrapper DataFifoWrapper
  544. (
  545. .WrClk_i (gclk),
  546. .RdClk_i (spiClkBus[i]),
  547. // .Rst_i (spiSyncRst[i] | FifoRxRst[i]),
  548. .FifoRxRst_i (fifoRxRst[i]),
  549. .FifoTxRst_i (fifoTxRst[i]),
  550. .SmcAre_i (SmcAre_i),
  551. .SmcAwe_i (SmcAwe_i),
  552. .ToFifoVal_i (toFifoVal[i]),
  553. .ToFifoRxData_i (dataToRxFifo[i]),
  554. .ToFifoRxWriteVal_i (valToRxFifo[i]),
  555. .ToFifoTxReadVal_i (valToTxFifoRead[i]),
  556. .ToFifoData_i (toFifoData[32*i+:32]),
  557. .ToSpiVal_o (toSpiVal[i]),
  558. .DataFromRxFifo_o (dataToRxFifo[i]),
  559. .ToSpiData_o (toSpiData[i])
  560. );
  561. SPIm SPIm_inst (
  562. .Clk_i(spiClkBus[i]),
  563. .Start_i(ten[i]),
  564. .Rst_i(initRst| spiMode[i]),
  565. .SPIdata(toSpiData[i]),
  566. .Sck_o(SckR[i]),
  567. .Ss_o(SsR[i]),
  568. .Mosi0_o(valReg[i]),
  569. .WidthSel_i(widthSel[i]),
  570. .PulsePol_i(CPOL[i]),
  571. .CPHA_i(CPHA[i]),
  572. .EndianSel_i(endianSel[i]),
  573. .LAG_i(lag[i]),
  574. .LEAD_i(leadx[i]),
  575. .Stop_i(stopDelay[i]),
  576. .SELST_i(selSt[i]),
  577. .Val_o(valToTxR[i])
  578. );
  579. SPIs SPIs_inst (
  580. .Clk_i(spiClkBus[i]),
  581. .Rst_i(initRst|SpiRst_o[i]| spiMode[i]),
  582. .Sck_i(SckR[i]),
  583. .Ss_i(SsR[i]),
  584. .Mosi0_i(valReg[i]),
  585. .WidthSel_i(widthSel[i]),
  586. .SELST_i(selSt[i]),
  587. .DataToRxFifo_o(dataToRxFifoR[i]),
  588. .Val_o(valToRxR[i])
  589. );
  590. QuadSPIm QuadSPIm_inst (
  591. .Clk_i(spiClkBus[i]),
  592. .Start_i(ten[i]),
  593. .Rst_i(initRst| !spiMode[i]),
  594. .SpiDataVal_i (toSpiVal),
  595. // .SPIdata(32'h2aaa00aa),
  596. .SPIdata(toSpiData[i]),
  597. .Sck_o(SckQ[i]),
  598. .Ss_o(SsQ[i]),
  599. .Mosi0_i(Mosi0Q[i]),
  600. .Mosi1_i(Mosi1[i]),
  601. .Mosi2_i(Mosi2[i]),
  602. .Mosi3_i(Mosi3[i]),
  603. .WidthSel_i(widthSel[i]),
  604. .PulsePol_i(CPOL[i]),
  605. .CPHA_i(CPHA[i]),
  606. .EndianSel_i(endianSel[i]),
  607. .LAG_i(lag[i]),
  608. .LEAD_i(leadx[i]),
  609. .Stop_i(stopDelay[i]),
  610. .SELST_i(selSt[i]),
  611. .Val_o(valToTxQ[i])
  612. );
  613. QuadSPIs QuadSPIs_inst (
  614. .Clk_i(spiClkBus[i]),
  615. .Rst_i(initRst|SpiRst_o[i]| !spiMode[i]),
  616. .Sck_i(SckQ[i]),
  617. .Ss_i(SsQ[i]),
  618. .Mosi0_i(Mosi0[i]),
  619. .Mosi1_i(Mosi1[i]),
  620. .Mosi2_i(Mosi2[i]),
  621. .Mosi3_i(Mosi3[i]),
  622. .WidthSel_i(widthSel[i]),
  623. .SELST_i(selSt[i]),
  624. .DataToRxFifo_o(dataToRxFifoQ[i]),
  625. .Val_o(valToRxQ[i])
  626. );
  627. end
  628. endgenerate
  629. InitRst InitRst_inst
  630. (
  631. .clk_i(gclk),
  632. .signal_o(initRst)
  633. );
  634. endmodule