QuadSPIm.v 23 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755
  1. module QuadSPIm(
  2. input Clk_i,
  3. input Rst_i,
  4. input Start_i,
  5. input CPHA_i,
  6. input [31:0] SPIdata,
  7. input SpiDataVal_i,
  8. input SELST_i,
  9. input [1:0] WidthSel_i,
  10. input LAG_i,
  11. input LEAD_i,
  12. input EndianSel_i,
  13. input [5:0] Stop_i,
  14. input PulsePol_i,
  15. output reg Mosi0_i,
  16. output reg Mosi1_i,
  17. output reg Mosi2_i,
  18. output reg Mosi3_i,
  19. output reg Sck_o,
  20. output reg Val_o,
  21. output Ss_o
  22. );
  23. //================================================================================
  24. // REG/WIRE
  25. //================================================================================
  26. reg startFlag;
  27. reg startR;
  28. reg [31:0] trCnt;
  29. reg valReg;
  30. reg lineBusy;
  31. reg [5:0] ssCnt;
  32. reg Ss;
  33. reg SSr;
  34. reg [31:0] spiDataR;
  35. reg oldDataFlag;
  36. reg [7:0] mosiReg0;
  37. reg [7:0] mosiReg1;
  38. reg [7:0] mosiReg2;
  39. reg [7:0] mosiReg3;
  40. reg [3:0] ssNum;
  41. reg [2:0] delayCnt;
  42. reg stopFlag;
  43. wire SsPol = SELST_i ? Ss : ~Ss;
  44. //================================================================================
  45. // ASSIGNMENTS
  46. //================================================================================
  47. assign Ss_o = Ss;
  48. // assign Val_o = (trCnt < 1 ) ?!lineBusy:valReg;
  49. //================================================================================
  50. // CODING
  51. //================================================================================
  52. always @(*) begin
  53. if (Start_i) begin
  54. // if (trCnt < 1) begin
  55. // Val_o = !lineBusy;
  56. // end
  57. // else begin
  58. Val_o = valReg;
  59. end
  60. else begin
  61. Val_o = 1'b0;
  62. end
  63. end
  64. always @(*) begin
  65. if (SELST_i) begin
  66. if (!Ss) begin
  67. lineBusy = 1'b1;
  68. end
  69. else begin
  70. lineBusy = 1'b0;
  71. end
  72. end
  73. else begin
  74. if (Ss) begin
  75. lineBusy = 1'b1;
  76. end
  77. else begin
  78. lineBusy = 1'b0;
  79. end
  80. end
  81. end
  82. always @(posedge Clk_i) begin
  83. if (Rst_i) begin
  84. trCnt <= 1'b0;
  85. end
  86. else begin
  87. if ( ssCnt == (ssNum + LEAD_i + LAG_i)) begin
  88. trCnt <= trCnt + 1'b1;
  89. end
  90. else if (oldDataFlag) begin
  91. trCnt <= 1'b0;
  92. end
  93. end
  94. end
  95. always @(posedge Clk_i) begin
  96. if (Rst_i) begin
  97. delayCnt <= 1'b0;
  98. end
  99. else begin
  100. if (stopFlag &&delayCnt < Stop_i) begin
  101. delayCnt <= delayCnt + 1'b1;
  102. end
  103. else begin
  104. delayCnt <= 1'b0;
  105. end
  106. end
  107. end
  108. always @(posedge Clk_i) begin
  109. if (Rst_i) begin
  110. stopFlag <= 1'b0;
  111. end
  112. else begin
  113. if (SELST_i) begin
  114. if (Ss && !SSr) begin
  115. stopFlag <= 1'b1;
  116. end
  117. else if ( delayCnt == Stop_i) begin
  118. stopFlag <= 1'b0;
  119. end
  120. end
  121. else begin
  122. if (!Ss && SSr) begin
  123. stopFlag <= 1'b1;
  124. end
  125. else if (delayCnt == Stop_i) begin
  126. stopFlag <= 1'b0;
  127. end
  128. end
  129. end
  130. end
  131. always @(*) begin
  132. if (SELST_i) begin
  133. if (PulsePol_i) begin
  134. if (CPHA_i) begin
  135. if (LEAD_i == 0) begin
  136. if (!Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  137. Sck_o = ~(~Clk_i);
  138. end
  139. else begin
  140. Sck_o = 1'b0;
  141. end
  142. end
  143. else begin
  144. if (!Ss && (ssCnt < ssNum+LAG_i+LEAD_i && ssCnt > LAG_i)) begin
  145. Sck_o = ~(~Clk_i);
  146. end
  147. else begin
  148. Sck_o = 1'b0;
  149. end
  150. end
  151. end
  152. else begin
  153. if (LEAD_i == 0) begin
  154. if (!Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  155. Sck_o = ~(Clk_i);
  156. end
  157. else begin
  158. Sck_o = 1'b0;
  159. end
  160. end
  161. else begin
  162. if (!Ss && (ssCnt < ssNum + LAG_i + LEAD_i && ssCnt > LAG_i)) begin
  163. Sck_o = ~(Clk_i);
  164. end
  165. else begin
  166. Sck_o = 1'b0;
  167. end
  168. end
  169. end
  170. end
  171. else begin
  172. if (CPHA_i) begin
  173. if (LEAD_i == 0) begin
  174. if (!Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  175. Sck_o = ~(Clk_i);
  176. end
  177. else begin
  178. Sck_o = 1'b0;
  179. end
  180. end
  181. else begin
  182. if (!Ss && (ssCnt <ssNum + LAG_i + LAG_i && ssCnt > LAG_i)) begin
  183. Sck_o = ~(Clk_i);
  184. end
  185. else begin
  186. Sck_o = 1'b0;
  187. end
  188. end
  189. end
  190. else begin
  191. if (LEAD_i == 0) begin
  192. if (!Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  193. Sck_o = ~(~Clk_i);
  194. end
  195. else begin
  196. Sck_o = 1'b0;
  197. end
  198. end
  199. else begin
  200. if (!Ss && (ssCnt < ssNum + LAG_i + LEAD_i && ssCnt > LAG_i)) begin
  201. Sck_o = ~(~Clk_i);
  202. end
  203. else begin
  204. Sck_o = 1'b0;
  205. end
  206. end
  207. end
  208. end
  209. end
  210. else begin
  211. if (PulsePol_i) begin
  212. if (CPHA_i) begin
  213. if (LEAD_i == 0) begin
  214. if (Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  215. Sck_o = ~(~Clk_i);
  216. end
  217. else begin
  218. Sck_o = 1'b0;
  219. end
  220. end
  221. else begin
  222. if (Ss && (ssCnt < ssNum+LAG_i+LEAD_i && ssCnt > LAG_i)) begin
  223. Sck_o = ~(~Clk_i);
  224. end
  225. else begin
  226. Sck_o = 1'b0;
  227. end
  228. end
  229. end
  230. else begin
  231. if (LEAD_i == 0) begin
  232. if (Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  233. Sck_o = ~(Clk_i);
  234. end
  235. else begin
  236. Sck_o = 1'b0;
  237. end
  238. end
  239. else begin
  240. if (Ss && (ssCnt < ssNum + LAG_i + LEAD_i && ssCnt > LAG_i)) begin
  241. Sck_o = ~(Clk_i);
  242. end
  243. else begin
  244. Sck_o = 1'b0;
  245. end
  246. end
  247. end
  248. end
  249. else begin
  250. if (CPHA_i) begin
  251. if (LEAD_i == 0) begin
  252. if (Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  253. Sck_o = ~(Clk_i);
  254. end
  255. else begin
  256. Sck_o = 1'b0;
  257. end
  258. end
  259. else begin
  260. if (Ss && (ssCnt <ssNum + LAG_i + LAG_i && ssCnt > LAG_i)) begin
  261. Sck_o = ~(Clk_i);
  262. end
  263. else begin
  264. Sck_o = 1'b0;
  265. end
  266. end
  267. end
  268. else begin
  269. if (LEAD_i == 0) begin
  270. if (Ss && (ssCnt <= ssNum+LAG_i+LEAD_i && ssCnt > LAG_i) ) begin
  271. Sck_o = ~(~Clk_i);
  272. end
  273. else begin
  274. Sck_o = 1'b0;
  275. end
  276. end
  277. else begin
  278. if (Ss && (ssCnt < ssNum + LAG_i + LEAD_i && ssCnt > LAG_i)) begin
  279. Sck_o = ~(~Clk_i);
  280. end
  281. else begin
  282. Sck_o = 1'b0;
  283. end
  284. end
  285. end
  286. end
  287. end
  288. end
  289. always @(*) begin
  290. if (SELST_i) begin
  291. if (EndianSel_i) begin
  292. case (WidthSel_i)
  293. 0 : begin
  294. Mosi0_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i) ) ? (mosiReg0[0]):1'b0;
  295. Mosi1_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  296. Mosi2_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  297. Mosi3_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  298. end
  299. 1 : begin
  300. Mosi0_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg0[0]):1'b0;
  301. Mosi1_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  302. Mosi2_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  303. Mosi3_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  304. end
  305. 2 : begin
  306. Mosi0_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg0[0]):1'b0;
  307. Mosi1_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  308. Mosi2_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  309. Mosi3_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  310. end
  311. 3 : begin
  312. Mosi0_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg0[0]):1'b0;
  313. Mosi1_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  314. Mosi2_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  315. Mosi3_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  316. end
  317. endcase
  318. end
  319. else begin
  320. case (WidthSel_i)
  321. 0 : begin
  322. Mosi0_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[1]):1'b0;
  323. Mosi1_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[1]):1'b0;
  324. Mosi2_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[1]):1'b0;
  325. Mosi3_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg3[1]):1'b0;
  326. end
  327. 1 : begin
  328. Mosi0_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[3]):1'b0;
  329. Mosi1_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[3]):1'b0;
  330. Mosi2_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[3]):1'b0;
  331. Mosi3_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt >LAG_i))? (mosiReg3[3]):1'b0;
  332. end
  333. 2 : begin
  334. Mosi0_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[5]):1'b0;
  335. Mosi1_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[5]):1'b0;
  336. Mosi2_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[5]):1'b0;
  337. Mosi3_i = (!Ss&& (ssCnt < ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg3[5]):1'b0;
  338. end
  339. 3 : begin
  340. Mosi0_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[7]):1'b0;
  341. Mosi1_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[7]):1'b0;
  342. Mosi2_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[7]):1'b0;
  343. Mosi3_i = (!Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg3[7]):1'b0;
  344. end
  345. endcase
  346. end
  347. end
  348. else begin
  349. if (EndianSel_i) begin
  350. case (WidthSel_i)
  351. 0 : begin
  352. Mosi0_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i) ) ? (mosiReg0[0]):1'b0;
  353. Mosi1_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  354. Mosi2_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  355. Mosi3_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  356. end
  357. 1 : begin
  358. Mosi0_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg0[0]):1'b0;
  359. Mosi1_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  360. Mosi2_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  361. Mosi3_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  362. end
  363. 2 : begin
  364. Mosi0_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg0[0]):1'b0;
  365. Mosi1_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  366. Mosi2_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  367. Mosi3_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  368. end
  369. 3 : begin
  370. Mosi0_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg0[0]):1'b0;
  371. Mosi1_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg1[0]):1'b0;
  372. Mosi2_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg2[0]):1'b0;
  373. Mosi3_i = (Ss && (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i)) ? (mosiReg3[0]):1'b0;
  374. end
  375. endcase
  376. end
  377. else begin
  378. case (WidthSel_i)
  379. 0 : begin
  380. Mosi0_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[1]):1'b0;
  381. Mosi1_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[1]):1'b0;
  382. Mosi2_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[1]):1'b0;
  383. Mosi3_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg3[1]):1'b0;
  384. end
  385. 1 : begin
  386. Mosi0_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[3]):1'b0;
  387. Mosi1_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[3]):1'b0;
  388. Mosi2_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[3]):1'b0;
  389. Mosi3_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt >LAG_i))? (mosiReg3[3]):1'b0;
  390. end
  391. 2 : begin
  392. Mosi0_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[5]):1'b0;
  393. Mosi1_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[5]):1'b0;
  394. Mosi2_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[5]):1'b0;
  395. Mosi3_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg3[5]):1'b0;
  396. end
  397. 3 : begin
  398. Mosi0_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg0[7]):1'b0;
  399. Mosi1_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg1[7]):1'b0;
  400. Mosi2_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg2[7]):1'b0;
  401. Mosi3_i = (Ss&& (ssCnt <= ssNum+LAG_i && ssCnt > LAG_i))? (mosiReg3[7]):1'b0;
  402. end
  403. endcase
  404. end
  405. end
  406. end
  407. always @(posedge Clk_i) begin
  408. SSr <= Ss;
  409. end
  410. always @(*) begin
  411. if (SELST_i) begin
  412. if (Ss && !SSr) begin
  413. valReg = 1'b1;
  414. end
  415. else begin
  416. valReg = 1'b0;
  417. end
  418. end
  419. else begin
  420. if (!Ss&& SSr) begin
  421. valReg = 1'b1;
  422. end
  423. else begin
  424. valReg = 1'b0;
  425. end
  426. end
  427. end
  428. always @(posedge Clk_i) begin
  429. if (valReg) begin
  430. spiDataR <= SPIdata;
  431. end
  432. end
  433. always @(*) begin
  434. if (Rst_i) begin
  435. oldDataFlag = 1'b0;
  436. end
  437. else begin
  438. if (spiDataR == SPIdata) begin
  439. oldDataFlag = 1'b1;
  440. end
  441. else begin
  442. oldDataFlag = 1'b0;
  443. end
  444. end
  445. end
  446. always @(*) begin
  447. if (Rst_i) begin
  448. startFlag = 1'b0;
  449. end
  450. else begin
  451. if (Start_i&& !stopFlag && SPIdata != 0 && !oldDataFlag ) begin
  452. startFlag = 1'b1;
  453. end
  454. else begin
  455. startFlag = 1'b0;
  456. end
  457. end
  458. end
  459. always @(*) begin
  460. if (Rst_i) begin
  461. ssNum = 1'b0;
  462. end
  463. else begin
  464. case (WidthSel_i)
  465. 0 : begin
  466. ssNum = 2;
  467. end
  468. 1 : begin
  469. ssNum = 4;
  470. end
  471. 2 : begin
  472. ssNum = 6;
  473. end
  474. 3 : begin
  475. ssNum = 8;
  476. end
  477. endcase
  478. end
  479. end
  480. always @(negedge Clk_i) begin
  481. if (Rst_i) begin
  482. ssCnt <= 1'b0;
  483. end
  484. else if (ssCnt < (ssNum+LAG_i+LEAD_i) && startFlag ) begin
  485. ssCnt <= ssCnt + 1'b1;
  486. end
  487. else begin
  488. if (ssCnt == ssNum-1 || !startFlag) begin
  489. ssCnt <= 1'b0;
  490. end
  491. end
  492. end
  493. always @(negedge Clk_i) begin
  494. if (SELST_i) begin
  495. if (Rst_i) begin
  496. Ss <= 1'b1;
  497. end
  498. else begin
  499. if (ssCnt < (ssNum+LAG_i+LEAD_i) && startFlag ) begin
  500. Ss <= 1'b0;
  501. end
  502. else begin
  503. Ss <= 1'b1;
  504. end
  505. end
  506. end
  507. else begin
  508. if (Rst_i) begin
  509. Ss <= 1'b0;
  510. end
  511. else begin
  512. if (ssCnt < (ssNum+LAG_i+LEAD_i) && startFlag ) begin
  513. Ss <= 1'b1;
  514. end
  515. else begin
  516. Ss <= 1'b0;
  517. end
  518. end
  519. end
  520. end
  521. always @(negedge Clk_i) begin
  522. if (Rst_i) begin
  523. mosiReg0 <= SPIdata[31:24];
  524. end
  525. else begin
  526. if (!EndianSel_i) begin
  527. if (SELST_i) begin
  528. if (!SSr && (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  529. mosiReg0 <= { mosiReg0[6:0],1'b0 };
  530. end
  531. else begin
  532. mosiReg0 <= SPIdata[31:24];
  533. end
  534. end
  535. else begin
  536. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  537. mosiReg0 <= { mosiReg0[6:0],1'b0 };
  538. end
  539. else begin
  540. mosiReg0 <= SPIdata[31:24];
  541. end
  542. end
  543. end
  544. else begin
  545. if (SELST_i) begin
  546. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  547. mosiReg0 <= {1'b0, mosiReg0[7:1] };
  548. end
  549. else begin
  550. mosiReg0 <= SPIdata[31:24];
  551. end
  552. end
  553. else begin
  554. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  555. mosiReg0 <= {1'b0, mosiReg0[7:1] };
  556. end
  557. else begin
  558. mosiReg0 <= SPIdata[31:24];
  559. end
  560. end
  561. end
  562. end
  563. end
  564. always @(negedge Clk_i) begin
  565. if (Rst_i) begin
  566. mosiReg1 <= SPIdata[23:16];
  567. end
  568. else begin
  569. if (!EndianSel_i) begin
  570. if (SELST_i) begin
  571. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  572. mosiReg1 <= { mosiReg1[6:0],1'b0 };
  573. end
  574. else begin
  575. mosiReg1 <= SPIdata[23:16];
  576. end
  577. end
  578. else begin
  579. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  580. mosiReg1 <= { mosiReg1[6:0],1'b0 };
  581. end
  582. else begin
  583. mosiReg1 <= SPIdata[23:16];
  584. end
  585. end
  586. end
  587. else begin
  588. if (SELST_i) begin
  589. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  590. mosiReg1 <= {1'b0, mosiReg1[7:1] };
  591. end
  592. else begin
  593. mosiReg1 <= SPIdata[23:16];
  594. end
  595. end
  596. else begin
  597. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  598. mosiReg1 <= {1'b0, mosiReg1[7:1] };
  599. end
  600. else begin
  601. mosiReg1 <= SPIdata[23:16];
  602. end
  603. end
  604. end
  605. end
  606. end
  607. always @(negedge Clk_i) begin
  608. if (Rst_i) begin
  609. mosiReg2 <= SPIdata[15:8];
  610. end
  611. else begin
  612. if (!EndianSel_i) begin
  613. if (SELST_i) begin
  614. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  615. mosiReg2 <= { mosiReg2[6:0],1'b0 };
  616. end
  617. else begin
  618. mosiReg2 <= SPIdata[15:8];
  619. end
  620. end
  621. else begin
  622. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  623. mosiReg2 <= { mosiReg2[6:0],1'b0 };
  624. end
  625. else begin
  626. mosiReg2 <= SPIdata[15:8];
  627. end
  628. end
  629. end
  630. else begin
  631. if (SELST_i) begin
  632. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  633. mosiReg2 <= {1'b0, mosiReg2[7:1] };
  634. end
  635. else begin
  636. mosiReg2 <= SPIdata[15:8];
  637. end
  638. end
  639. else begin
  640. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  641. mosiReg2 <= {1'b0, mosiReg2[7:1] };
  642. end
  643. else begin
  644. mosiReg2 <= SPIdata[15:8];
  645. end
  646. end
  647. end
  648. end
  649. end
  650. always @(negedge Clk_i) begin
  651. if (Rst_i) begin
  652. mosiReg3 <= SPIdata[7:0];
  653. end
  654. else begin
  655. if (!EndianSel_i) begin
  656. if (SELST_i) begin
  657. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  658. mosiReg3 <= { mosiReg3[6:0],1'b0 };
  659. end
  660. else begin
  661. mosiReg3 <= SPIdata[7:0];
  662. end
  663. end
  664. else begin
  665. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  666. mosiReg3 <= { mosiReg3[6:0],1'b0 };
  667. end
  668. else begin
  669. mosiReg3 <= SPIdata[7:0];
  670. end
  671. end
  672. end
  673. else begin
  674. if (SELST_i) begin
  675. if (!SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  676. mosiReg3 <= {1'b0, mosiReg3[7:1] };
  677. end
  678. else begin
  679. mosiReg3 <= SPIdata[7:0];
  680. end
  681. end
  682. else begin
  683. if (SSr&& (ssCnt > LAG_i && ssCnt < ssNum + LAG_i + LEAD_i)) begin
  684. mosiReg3 <= {1'b0, mosiReg3[7:1] };
  685. end
  686. else begin
  687. mosiReg3 <= SPIdata[7:0];
  688. end
  689. end
  690. end
  691. end
  692. end
  693. endmodule