S5443_3Top.v 15 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498
  1. `timescale 1ns / 1ps
  2. //////////////////////////////////////////////////////////////////////////////////
  3. // Company:
  4. // Engineer:
  5. //
  6. // Create Date: 10/30/2023 11:24:31 AM
  7. // Design Name:
  8. // Module Name: S5443_3Top
  9. // Project Name:
  10. // Target Devices:
  11. // Tool Versions:
  12. // Description:
  13. //
  14. // Dependencies:
  15. //
  16. // Revision:
  17. // Revision 0.01 - File Created
  18. // Additional Comments:
  19. //
  20. //////////////////////////////////////////////////////////////////////////////////
  21. module S5443_3Top #(
  22. parameter CmdRegWidth = 32,
  23. parameter AddrRegWidth = 12,
  24. parameter SpiNum = 7
  25. )(
  26. input Clk123_i,
  27. input [AddrRegWidth-2:0] Addr_i,
  28. inout [CmdRegWidth/2-1:0] Data_i,
  29. input writeEn_i,
  30. input readEn_i,
  31. // input DspRst_i,
  32. input [1:0] BE_i,
  33. input outputEn_i,
  34. output [SpiNum-1:0] LD_i,
  35. output Led_o,
  36. output [SpiNum-1:0] Mosi0_o,
  37. output [SpiNum-1:0] Mosi1_o,
  38. output [SpiNum-1:0] Mosi2_o,
  39. output [SpiNum-1:0] Mosi3_o,
  40. output [SpiNum-1:0] Ss_o,
  41. output [SpiNum-1:0] Sck_o,
  42. output [SpiNum-1:0] SpiRst_o,
  43. output LD_o
  44. );
  45. //================================================================================
  46. // REG/WIRE
  47. //================================================================================
  48. wire Clk100_i;
  49. wire [SpiNum-1:0]Sck;
  50. wire [SpiNum-1:0] Ss;
  51. wire [SpiNum-1:0]Mosi0;
  52. wire [SpiNum-1:0]Mosi1;
  53. wire [SpiNum-1:0]Mosi2;
  54. wire [SpiNum-1:0]Mosi3;
  55. wire [SpiNum-1:0] ten;
  56. wire clk80;
  57. wire clk61;
  58. wire initRst;
  59. wire gclk;
  60. wire [0:15] baudRate [SpiNum-1:0];
  61. //SPI0
  62. wire [CmdRegWidth-1:0] Spi0Ctrl;
  63. wire [CmdRegWidth-1:0] Spi0Clk;
  64. wire [CmdRegWidth-1:0] Spi0CsDelay;
  65. wire [CmdRegWidth-1:0] Spi0CsCtrl;
  66. wire [CmdRegWidth-1:0] Spi0TxFifoCtrl;
  67. wire [CmdRegWidth-1:0] Spi0RxFifoCtrl;
  68. wire [CmdRegWidth-1:0] Spi0TxFifo;
  69. wire [CmdRegWidth-1:0] Spi0RxFifo;
  70. //SPI1
  71. wire [CmdRegWidth-1:0] Spi1Ctrl;
  72. wire [CmdRegWidth-1:0] Spi1Clk;
  73. wire [CmdRegWidth-1:0] Spi1CsDelay;
  74. wire [CmdRegWidth-1:0] Spi1CsCtrl;
  75. wire [CmdRegWidth-1:0] Spi1TxFifoCtrl;
  76. wire [CmdRegWidth-1:0] Spi1RxFifoCtrl;
  77. wire [CmdRegWidth-1:0] Spi1TxFifo;
  78. wire [CmdRegWidth-1:0] Spi1RxFifo;
  79. //SPI2
  80. wire [CmdRegWidth-1:0] Spi2Ctrl;
  81. wire [CmdRegWidth-1:0] Spi2Clk;
  82. wire [CmdRegWidth-1:0] Spi2CsDelay;
  83. wire [CmdRegWidth-1:0] Spi2CsCtrl;
  84. wire [CmdRegWidth-1:0] Spi2TxFifoCtrl;
  85. wire [CmdRegWidth-1:0] Spi2RxFifoCtrl;
  86. wire [CmdRegWidth-1:0] Spi2TxFifo;
  87. wire [CmdRegWidth-1:0] Spi2RxFifo;
  88. //SPI3
  89. wire [CmdRegWidth-1:0] Spi3Ctrl;
  90. wire [CmdRegWidth-1:0] Spi3Clk;
  91. wire [CmdRegWidth-1:0] Spi3CsDelay;
  92. wire [CmdRegWidth-1:0] Spi3CsCtrl;
  93. wire [CmdRegWidth-1:0] Spi3TxFifoCtrl;
  94. wire [CmdRegWidth-1:0] Spi3RxFifoCtrl;
  95. wire [CmdRegWidth-1:0] Spi3TxFifo;
  96. wire [CmdRegWidth-1:0] Spi3RxFifo;
  97. //SPI4
  98. wire [CmdRegWidth-1:0] Spi4Ctrl;
  99. wire [CmdRegWidth-1:0] Spi4Clk;
  100. wire [CmdRegWidth-1:0] Spi4CsDelay;
  101. wire [CmdRegWidth-1:0] Spi4CsCtrl;
  102. wire [CmdRegWidth-1:0] Spi4TxFifoCtrl;
  103. wire [CmdRegWidth-1:0] Spi4RxFifoCtrl;
  104. wire [CmdRegWidth-1:0] Spi4TxFifo;
  105. wire [CmdRegWidth-1:0] Spi4RxFifo;
  106. //SPI5
  107. wire [CmdRegWidth-1:0] Spi5Ctrl;
  108. wire [CmdRegWidth-1:0] Spi5Clk;
  109. wire [CmdRegWidth-1:0] Spi5CsDelay;
  110. wire [CmdRegWidth-1:0] Spi5CsCtrl;
  111. wire [CmdRegWidth-1:0] Spi5TxFifoCtrl;
  112. wire [CmdRegWidth-1:0] Spi5RxFifoCtrl;
  113. wire [CmdRegWidth-1:0] Spi5TxFifo;
  114. wire [CmdRegWidth-1:0] Spi5RxFifo;
  115. //SPI6
  116. wire [CmdRegWidth-1:0] Spi6Ctrl;
  117. wire [CmdRegWidth-1:0] Spi6Clk;
  118. wire [CmdRegWidth-1:0] Spi6CsDelay;
  119. wire [CmdRegWidth-1:0] Spi6CsCtrl;
  120. wire [CmdRegWidth-1:0] Spi6TxFifoCtrl;
  121. wire [CmdRegWidth-1:0] Spi6RxFifoCtrl;
  122. wire [CmdRegWidth-1:0] Spi6TxFifo;
  123. wire [CmdRegWidth-1:0] Spi6RxFifo;
  124. wire [CmdRegWidth-1:0] SpiTxRxEn;
  125. wire [CmdRegWidth-1:0] GPIOA;
  126. wire [AddrRegWidth-1:0] toRegMapAddr;
  127. wire [CmdRegWidth-1:0] toRegMapData;
  128. wire toRegMapVal;
  129. wire [SpiNum-1:0] toFifoVal;
  130. wire [CmdRegWidth*SpiNum-1:0] toFifoData;
  131. wire [SpiNum-1:0] toSpiVal;
  132. wire [CmdRegWidth-1:0] toSpiData;
  133. wire [0:1] widthSel [SpiNum-1:0];
  134. wire [SpiNum-1:0] CPOL;
  135. wire [SpiNum-1:0] CPHA;
  136. wire [SpiNum-1:0] endianSel;
  137. wire [SpiNum-1:0] selSt;
  138. wire [0:5] stopDelay [SpiNum-1:0];
  139. wire [SpiNum-1:0] leadx;
  140. wire [SpiNum-1:0] lagx;
  141. wire [SpiNum-1:0] FifoRxRst;
  142. wire [SpiNum-1:0] FifoTxRst;
  143. wire [0:7] WordCntTx [SpiNum-1:0];
  144. wire [0:7] WordCntRx [SpiNum-1:0];
  145. //================================================================================
  146. // ASSIGNMENTS
  147. //================================================================================
  148. assign addr = {Addr_i, 1'b0};
  149. assign Data_i = (!outputEn_i) ? data : 16'bz;
  150. assign ten = SpiTxRxEn[6:0];
  151. assign Mosi0_o = Mosi0;
  152. assign Mosi1_o = Mosi1;
  153. assign Mosi2_o = Mosi2;
  154. assign Mosi3_o = Mosi3;
  155. assign Ss_o = Ss;
  156. assign Sck_o = Sck;
  157. assign widthSel[0] = Spi0Ctrl[6:5];
  158. assign widthSel[1] = Spi1Ctrl[6:5];
  159. assign widthSel[2] = Spi2Ctrl[6:5];
  160. assign widthSel[3] = Spi3Ctrl[6:5];
  161. assign widthSel[4] = Spi4Ctrl[6:5];
  162. assign widthSel[5] = Spi5Ctrl[6:5];
  163. assign widthSel[6] = Spi6Ctrl[6:5];
  164. assign CPOL[0] = Spi0Ctrl[2];
  165. assign CPOL[1] = Spi1Ctrl[2];
  166. assign CPOL[2] = Spi2Ctrl[2];
  167. assign CPOL[3] = Spi3Ctrl[2];
  168. assign CPOL[4] = Spi4Ctrl[2];
  169. assign CPOL[5] = Spi5Ctrl[2];
  170. assign CPOL[6] = Spi6Ctrl[2];
  171. assign CPHA[0] = Spi0Ctrl[1];
  172. assign CPHA[1] = Spi1Ctrl[1];
  173. assign CPHA[2] = Spi2Ctrl[1];
  174. assign CPHA[3] = Spi3Ctrl[1];
  175. assign CPHA[4] = Spi4Ctrl[1];
  176. assign CPHA[5] = Spi5Ctrl[1];
  177. assign CPHA[6] = Spi6Ctrl[1];
  178. assign endianSel[0] = Spi0Ctrl[8];
  179. assign endianSel[1] = Spi1Ctrl[8];
  180. assign endianSel[2] = Spi2Ctrl[8];
  181. assign endianSel[3] = Spi3Ctrl[8];
  182. assign endianSel[4] = Spi4Ctrl[8];
  183. assign endianSel[5] = Spi5Ctrl[8];
  184. assign endianSel[6] = Spi6Ctrl[8];
  185. assign selSt[0] = Spi0Ctrl[4];
  186. assign selSt[1] = Spi1Ctrl[4];
  187. assign selSt[2] = Spi2Ctrl[4];
  188. assign selSt[3] = Spi3Ctrl[4];
  189. assign selSt[4] = Spi4Ctrl[4];
  190. assign selSt[5] = Spi5Ctrl[4];
  191. assign selSt[6] = Spi6Ctrl[4];
  192. assign stopDelay[0] = Spi0CsDelay[7:2];
  193. assign stopDelay[1] = Spi1CsDelay[7:2];
  194. assign stopDelay[2] = Spi2CsDelay[7:2];
  195. assign stopDelay[3] = Spi3CsDelay[7:2];
  196. assign stopDelay[4] = Spi4CsDelay[7:2];
  197. assign stopDelay[5] = Spi5CsDelay[7:2];
  198. assign stopDelay[6] = Spi6CsDelay[7:2];
  199. assign leadx[0] = Spi0CsDelay[1];
  200. assign leadx[1] = Spi1CsDelay[1];
  201. assign leadx[2] = Spi2CsDelay[1];
  202. assign leadx[3] = Spi3CsDelay[1];
  203. assign leadx[4] = Spi4CsDelay[1];
  204. assign leadx[5] = Spi5CsDelay[1];
  205. assign leadx[6] = Spi6CsDelay[1];
  206. assign lagx[0] = Spi0CsDelay[0];
  207. assign lagx[1] = Spi1CsDelay[0];
  208. assign lagx[2] = Spi2CsDelay[0];
  209. assign lagx[3] = Spi3CsDelay[0];
  210. assign lagx[4] = Spi4CsDelay[0];
  211. assign lagx[5] = Spi5CsDelay[0];
  212. assign lagx[6] = Spi6CsDelay[0];
  213. assign baudRate[0] = Spi0Clk[15:0];
  214. assign baudRate[1] = Spi1Clk[15:0];
  215. assign baudRate[2] = Spi2Clk[15:0];
  216. assign baudRate[3] = Spi3Clk[15:0];
  217. assign baudRate[4] = Spi4Clk[15:0];
  218. assign baudRate[5] = Spi5Clk[15:0];
  219. assign baudRate[6] = Spi6Clk[15:0];
  220. assign SpiRst_o[0] = GPIOA[0];
  221. assign SpiRst_o[1] = GPIOA[1];
  222. assign SpiRst_o[2] = GPIOA[2];
  223. assign SpiRst_o[3] = GPIOA[3];
  224. assign SpiRst_o[4] = GPIOA[4];
  225. assign SpiRst_o[5] = GPIOA[5];
  226. assign SpiRst_o[6] = GPIOA[6];
  227. assign FifoRxRst[0] = Spi0RxFifoCtrl[0];
  228. assign FifoRxRst[1] = Spi1RxFifoCtrl[0];
  229. assign FifoRxRst[2] = Spi2RxFifoCtrl[0];
  230. assign FifoRxRst[3] = Spi3RxFifoCtrl[0];
  231. assign FifoRxRst[4] = Spi4RxFifoCtrl[0];
  232. assign FifoRxRst[5] = Spi5RxFifoCtrl[0];
  233. assign FifoRxRst[6] = Spi6RxFifoCtrl[0];
  234. assign FifoTxRst[0] = Spi0TxFifoCtrl[0];
  235. assign FifoTxRst[1] = Spi1TxFifoCtrl[0];
  236. assign FifoTxRst[2] = Spi2TxFifoCtrl[0];
  237. assign FifoTxRst[3] = Spi3TxFifoCtrl[0];
  238. assign FifoTxRst[4] = Spi4TxFifoCtrl[0];
  239. assign FifoTxRst[5] = Spi5TxFifoCtrl[0];
  240. assign FifoTxRst[6] = Spi6TxFifoCtrl[0];
  241. assign LD_i[0] = GPIOA[16];
  242. assign LD_i[1] = GPIOA[17];
  243. assign LD_i[2] = GPIOA[18];
  244. assign LD_i[3] = GPIOA[19];
  245. assign LD_i[4] = GPIOA[20];
  246. assign LD_i[5] = GPIOA[21];
  247. assign LD_i[6] = GPIOA[22];
  248. assign LD_o = LD_i[0]&LD_i[1]&LD_i[2]&LD_i[3]&LD_i[4]&LD_i[5]&LD_i[6];
  249. assign WordCntRx[0] = Spi0RxFifoCtrl[15:8];
  250. assign WordCntRx[1] = Spi1RxFifoCtrl[15:8];
  251. assign WordCntRx[2] = Spi2RxFifoCtrl[15:8];
  252. assign WordCntRx[3] = Spi3RxFifoCtrl[15:8];
  253. assign WordCntRx[4] = Spi4RxFifoCtrl[15:8];
  254. assign WordCntRx[5] = Spi5RxFifoCtrl[15:8];
  255. assign WordCntRx[6] = Spi6RxFifoCtrl[15:8];
  256. assign WordCntTx[0] = Spi0TxFifoCtrl[15:8];
  257. assign WordCntTx[1] = Spi1TxFifoCtrl[15:8];
  258. assign WordCntTx[2] = Spi2TxFifoCtrl[15:8];
  259. assign WordCntTx[3] = Spi3TxFifoCtrl[15:8];
  260. assign WordCntTx[4] = Spi4TxFifoCtrl[15:8];
  261. assign WordCntTx[5] = Spi5TxFifoCtrl[15:8];
  262. assign WordCntTx[6] = Spi6TxFifoCtrl[15:8];
  263. //================================================================================
  264. // CODING
  265. //================================================================================
  266. BUFG BUFG_inst (
  267. .O(gclk), // 1-bit output: Clock output
  268. .I(Clk123_i) // 1-bit input: Clock input
  269. );
  270. clk_wiz_0 ClkGen
  271. (
  272. .s_axi_aclk (), // input s_axi_aclk
  273. .s_axi_aresetn (), // input s_axi_aresetn,
  274. .s_axi_awaddr (), // input [10 : 0] s_axi_awaddr,
  275. .s_axi_awvalid (), // input s_axi_awvalid,
  276. .s_axi_awready (), // output s_axi_awready,
  277. .s_axi_wdata (), // input [31 : 0] s_axi_wdata,
  278. .s_axi_wstrb (), // input [3 : 0] s_axi_wstrb,
  279. .s_axi_wvalid (), // input s_axi_wvalid,
  280. .s_axi_wready (), // output s_axi_wready,
  281. .s_axi_bresp (), // output [1 : 0] s_axi_bresp,
  282. .s_axi_bvalid (), // output s_axi_bvalid,
  283. .s_axi_bready (), // input s_axi_bready,
  284. .s_axi_araddr (), // input [10 : 0] s_axi_araddr,
  285. .s_axi_arvalid (), // input s_axi_arvalid,
  286. .s_axi_arready (), // output s_axi_arready,
  287. .s_axi_rdata (), // output [31 : 0] s_axi_rdata,
  288. .s_axi_rresp (), // output [1 : 0] s_axi_rresp,
  289. .s_axi_rvalid (), // output s_axi_rvalid,
  290. .s_axi_rready (), // input s_axi_rready,
  291. // Clock out ports
  292. .clk_out1(Clk100_i), // output clk_out1
  293. // .clk_out2(clk61), // output clk_out2
  294. // Status and control signals
  295. .locked(), // output locked
  296. // Clock in ports
  297. .clk_in1(gclk)); // input clk_in1
  298. SmcDataMux SmcDataMuxer
  299. (
  300. .Clk_i (gclk),
  301. .Rst_i (initRst),
  302. .SmcVal_i (1'b1),
  303. .SmcData_i ({Data_i,Data_i}),
  304. .SmcAddr_i ({Addr_i,1'b0}),
  305. .ToRegMapVal_o (toRegMapVal),
  306. .ToRegMapData_o (toRegMapData),
  307. .ToRegMapAddr_o (toRegMapAddr),
  308. .ToFifoVal_o (toFifoVal),
  309. .ToFifoData_o (toFifoData)
  310. );
  311. RegMap #(
  312. .CmdRegWidth(32),
  313. .AddrRegWidth(12)
  314. )
  315. RegMap_inst (
  316. .Clk_i(gclk),
  317. .Rst_i(initRst),
  318. .Data_i(toRegMapData),
  319. .Addr_i(toRegMapAddr),
  320. .wrEn_i(writeEn_i|toRegMapVal),
  321. .rdEn_i(readEn_i),
  322. .BE_i(BE_i),
  323. .Led_o(Led_o),
  324. .AnsDataReg_o(data),
  325. //Spi0
  326. .Spi0CtrlReg_o(Spi0Ctrl),
  327. .Spi0ClkReg_o(Spi0Clk),
  328. .Spi0CsDelayReg_o(Spi0CsDelay),
  329. .Spi0CsCtrlReg_o(Spi0CsCtrl),
  330. .Spi0TxFifoCtrlReg_o(Spi0TxFifoCtrl),
  331. .Spi0RxFifoCtrlReg_o(Spi0RxFifoCtrl),
  332. .Spi0TxFifoReg_o(Spi0TxFifo),
  333. .Spi0RxFifoReg_o(Spi0RxFifo),
  334. //Spi1
  335. .Spi1CtrlReg_o(Spi1Ctrl),
  336. .Spi1ClkReg_o(Spi1Clk),
  337. .Spi1CsDelayReg_o(Spi1CsDelay),
  338. .Spi1CsCtrlReg_o(Spi1CsCtrl),
  339. .Spi1TxFifoCtrlReg_o(Spi1TxFifoCtrl),
  340. .Spi1RxFifoCtrlReg_o(Spi1RxFifoCtrl),
  341. .Spi1TxFifoReg_o(Spi1TxFifo),
  342. .Spi1RxFifoReg_o(Spi1RxFifo),
  343. //Spi2
  344. .Spi2CtrlReg_o(Spi2Ctrl),
  345. .Spi2ClkReg_o(Spi2Clk),
  346. .Spi2CsDelayReg_o(Spi2CsDelay),
  347. .Spi2CsCtrlReg_o(Spi2CsCtrl),
  348. .Spi2TxFifoCtrlReg_o(Spi2TxFifoCtrl),
  349. .Spi2RxFifoCtrlReg_o(Spi2RxFifoCtrl),
  350. .Spi2TxFifoReg_o(Spi2TxFifo),
  351. .Spi2RxFifoReg_o(Spi2RxFifo),
  352. //Spi3
  353. .Spi3CtrlReg_o(Spi3Ctrl),
  354. .Spi3ClkReg_o(Spi3Clk),
  355. .Spi3CsDelayReg_o(Spi3CsDelay),
  356. .Spi3CsCtrlReg_o(Spi3CsCtrl),
  357. .Spi3TxFifoCtrlReg_o(Spi3TxFifoCtrl),
  358. .Spi3RxFifoCtrlReg_o(Spi3RxFifoCtrl),
  359. .Spi3TxFifoReg_o(Spi3TxFifo),
  360. .Spi3RxFifoReg_o(Spi3RxFifo),
  361. //Spi4
  362. .Spi4CtrlReg_o(Spi4Ctrl),
  363. .Spi4ClkReg_o(Spi4Clk),
  364. .Spi4CsDelayReg_o(Spi4CsDelay),
  365. .Spi4CsCtrlReg_o(Spi4CsCtrl),
  366. .Spi4TxFifoCtrlReg_o(Spi4TxFifoCtrl),
  367. .Spi4RxFifoCtrlReg_o(Spi4RxFifoCtrl),
  368. .Spi4TxFifoReg_o(Spi4TxFifo),
  369. .Spi4RxFifoReg_o(Spi4RxFifo),
  370. //Spi5
  371. .Spi5CtrlReg_o(Spi5Ctrl),
  372. .Spi5ClkReg_o(Spi5Clk),
  373. .Spi5CsDelayReg_o(Spi5CsDelay),
  374. .Spi5CsCtrlReg_o(Spi5CsCtrl),
  375. .Spi5TxFifoCtrlReg_o(Spi5TxFifoCtrl),
  376. .Spi5RxFifoCtrlReg_o(Spi5RxFifoCtrl),
  377. .Spi5TxFifoReg_o(Spi5TxFifo),
  378. .Spi5RxFifoReg_o(Spi5RxFifo),
  379. //Spi6
  380. .Spi6CtrlReg_o(Spi6Ctrl),
  381. .Spi6ClkReg_o(Spi6Clk),
  382. .Spi6CsDelayReg_o(Spi6CsDelay),
  383. .Spi6CsCtrlReg_o(Spi6CsCtrl),
  384. .Spi6TxFifoCtrlReg_o(Spi6TxFifoCtrl),
  385. .Spi6RxFifoCtrlReg_o(Spi6RxFifoCtrl),
  386. .Spi6TxFifoReg_o(Spi6TxFifo),
  387. .Spi6RxFifoReg_o(Spi6RxFifo),
  388. .SpiTxRxEnReg_o(SpiTxRxEn),
  389. .GPIOAReg_o(GPIOA)
  390. );
  391. genvar i;
  392. generate
  393. for (i = 0; i < SpiNum; i = i + 1) begin: SpiGen
  394. DataFifoWrapper DataFifoWrapepr
  395. (
  396. .WrClk_i (gclk),
  397. .RdClk_i (Clk100_i),
  398. .Rst_i (initRst | FifoRxRst[i]),
  399. .readEn_i (readEn_i),
  400. .ToFifoVal_i (toFifoVal[i]),
  401. .ToFifoData_i (toFifoData[32*i+:32]),
  402. .ToSpiVal_o (toSpiVal[i]),
  403. .ToSpiData_o (toSpiData[i])
  404. );
  405. QuadSPIm QuadSPIm_inst (
  406. .Clk_i(Clk100_i),
  407. .Start_i(ten[i]),
  408. .Rst_i(initRst),
  409. .SpiDataVal_i (toSpiVal),
  410. // .SPIdata(32'h2aaa00aa),
  411. .SPIdata(toSpiData[i]),
  412. .Sck_o(Sck[i]),
  413. .Ss_o(Ss[i]),
  414. .Mosi0_i(Mosi0[i]),
  415. .Mosi1_i(Mosi1[i]),
  416. .Mosi2_i(Mosi2[i]),
  417. .Mosi3_i(Mosi3[i]),
  418. .WidthSel_i(widthSel[i]),
  419. .PulsePol_i(CPOL[i]),
  420. .CPHA_i(CPHA[i]),
  421. .EndianSel_i(endianSel[i]),
  422. .LAG_i(lagx[i]),
  423. .LEAD_i(leadx[i]),
  424. .Stop_i(stopDelay[i]),
  425. .SELST_i(selSt[i])
  426. );
  427. end
  428. endgenerate
  429. InitRst InitRst_inst (
  430. .clk_i(gclk),
  431. .signal_o(initRst)
  432. );
  433. endmodule