Jelajahi Sumber

Обновил заголовок, расширил Fifo.

Anatoliy Chigirinskiy 11 bulan lalu
induk
melakukan
f2c2fabdaa
45 mengubah file dengan 4772 tambahan dan 2270 penghapusan
  1. 4 4
      src/constr/BochV3.sdc
  2. 2 0
      src/src/ClkGen/ClkGen.v
  3. 1 1
      src/src/InterfaceArbiter/InterfaceArbiter.v
  4. 12 8
      src/src/PacketAnalyzer4Mosi/PacketAnalyzer4Mosi.v
  5. 2 0
      src/src/Top/TopBochV3.v
  6. 2 2
      src/src/WrapFifoChain/FifoTfe2Bytes/FifoTfe2Bytes.ipc
  7. 261 237
      src/src/WrapFifoChain/FifoTfe2Bytes/FifoTfe2Bytes.v
  8. 263 200
      src/src/WrapFifoChain/FifoTfe2Bytes/FifoTfe2Bytes.vo
  9. 1 1
      src/src/WrapFifoChain/FifoTfe2Bytes/FifoTfe2Bytes_tmp.v
  10. 261 237
      src/src/WrapFifoChain/FifoTfe2Bytes/temp/FIFOHS/FifoTfe2Bytes.vg
  11. 176 176
      src/src/WrapFifoChain/FifoTfe2Bytes/temp/FIFOHS/FifoTfe2Bytes_syn.rpt.html
  12. 3 3
      src/src/WrapFifoChain/FifoTfe2Bytes/temp/FIFOHS/FifoTfe2Bytes_syn_resource.html
  13. 1 1
      src/src/WrapFifoChain/FifoTfe2Bytes/temp/FIFOHS/FifoTfe2Bytes_syn_rsc.xml
  14. 1 1
      src/src/WrapFifoChain/FifoTfe2Bytes/temp/FIFOHS/FifoTfe2Bytes_tmp.v
  15. 4 4
      src/src/WrapFifoChain/FifoTfe2Bytes/temp/FIFOHS/fifo_parameter.v
  16. 2 2
      src/src/WrapFifoChain/FifoTfe4Bytes/FifoTfe4Bytes.ipc
  17. 260 118
      src/src/WrapFifoChain/FifoTfe4Bytes/FifoTfe4Bytes.v
  18. 479 88
      src/src/WrapFifoChain/FifoTfe4Bytes/FifoTfe4Bytes.vo
  19. 1 1
      src/src/WrapFifoChain/FifoTfe4Bytes/FifoTfe4Bytes_tmp.v
  20. 260 118
      src/src/WrapFifoChain/FifoTfe4Bytes/temp/FIFOHS/FifoTfe4Bytes.vg
  21. 227 107
      src/src/WrapFifoChain/FifoTfe4Bytes/temp/FIFOHS/FifoTfe4Bytes_syn.rpt.html
  22. 3 3
      src/src/WrapFifoChain/FifoTfe4Bytes/temp/FIFOHS/FifoTfe4Bytes_syn_resource.html
  23. 1 1
      src/src/WrapFifoChain/FifoTfe4Bytes/temp/FIFOHS/FifoTfe4Bytes_syn_rsc.xml
  24. 1 1
      src/src/WrapFifoChain/FifoTfe4Bytes/temp/FIFOHS/FifoTfe4Bytes_tmp.v
  25. 4 4
      src/src/WrapFifoChain/FifoTfe4Bytes/temp/FIFOHS/fifo_parameter.v
  26. 2 2
      src/src/WrapFifoChain/FifoTfe6Bytes/FifoTfe6Bytes.ipc
  27. 274 133
      src/src/WrapFifoChain/FifoTfe6Bytes/FifoTfe6Bytes.v
  28. 483 92
      src/src/WrapFifoChain/FifoTfe6Bytes/FifoTfe6Bytes.vo
  29. 1 1
      src/src/WrapFifoChain/FifoTfe6Bytes/FifoTfe6Bytes_tmp.v
  30. 274 133
      src/src/WrapFifoChain/FifoTfe6Bytes/temp/FIFOHS/FifoTfe6Bytes.vg
  31. 227 107
      src/src/WrapFifoChain/FifoTfe6Bytes/temp/FIFOHS/FifoTfe6Bytes_syn.rpt.html
  32. 3 3
      src/src/WrapFifoChain/FifoTfe6Bytes/temp/FIFOHS/FifoTfe6Bytes_syn_resource.html
  33. 1 1
      src/src/WrapFifoChain/FifoTfe6Bytes/temp/FIFOHS/FifoTfe6Bytes_syn_rsc.xml
  34. 1 1
      src/src/WrapFifoChain/FifoTfe6Bytes/temp/FIFOHS/FifoTfe6Bytes_tmp.v
  35. 4 4
      src/src/WrapFifoChain/FifoTfe6Bytes/temp/FIFOHS/fifo_parameter.v
  36. 2 2
      src/src/WrapFifoChain/FifoTfe7Bytes/FifoTfe7Bytes.ipc
  37. 274 132
      src/src/WrapFifoChain/FifoTfe7Bytes/FifoTfe7Bytes.v
  38. 483 92
      src/src/WrapFifoChain/FifoTfe7Bytes/FifoTfe7Bytes.vo
  39. 1 1
      src/src/WrapFifoChain/FifoTfe7Bytes/FifoTfe7Bytes_tmp.v
  40. 274 132
      src/src/WrapFifoChain/FifoTfe7Bytes/temp/FIFOHS/FifoTfe7Bytes.vg
  41. 227 107
      src/src/WrapFifoChain/FifoTfe7Bytes/temp/FIFOHS/FifoTfe7Bytes_syn.rpt.html
  42. 3 3
      src/src/WrapFifoChain/FifoTfe7Bytes/temp/FIFOHS/FifoTfe7Bytes_syn_resource.html
  43. 1 1
      src/src/WrapFifoChain/FifoTfe7Bytes/temp/FIFOHS/FifoTfe7Bytes_syn_rsc.xml
  44. 1 1
      src/src/WrapFifoChain/FifoTfe7Bytes/temp/FIFOHS/FifoTfe7Bytes_tmp.v
  45. 4 4
      src/src/WrapFifoChain/FifoTfe7Bytes/temp/FIFOHS/fifo_parameter.v

+ 4 - 4
src/constr/BochV3.sdc

@@ -2,10 +2,10 @@
 //All rights reserved.
 //File Title: Timing Constraints file
 //Tool Version: V1.9.9.03 (64-bit) 
-//Created Time: 2024-11-26 10:09:40
-create_clock -name Sck_i -period 10 -waveform {0 8.334} [get_ports {Sck_i}]
+//Created Time: 2024-12-27 15:01:39
 create_clock -name clk25 -period 40 -waveform {0 20} [get_nets {clk25}]
-create_clock -name clk40 -period 25 -waveform {0 12.5} [get_nets {clk40}]
+create_clock -name Sck_i -period 10 -waveform {0 8.334} [get_ports {Sck_i}]
 create_clock -name clk60 -period 16.667 -waveform {0 8.334} [get_nets {clk60}]
+create_clock -name clk40 -period 25 -waveform {0 12.5} [get_nets {clk40}]
 set_clock_groups -asynchronous -group [get_clocks {Sck_i}] -group [get_clocks {clk60 clk40 clk25}]
-report_timing -setup -to_clock [get_clocks {clk60}]
+report_timing -setup -to_clock [get_clocks {clk60}] -max_paths 25 -max_common_paths 1

+ 2 - 0
src/src/ClkGen/ClkGen.v

@@ -17,6 +17,7 @@
 module ClkGen (
 	output Clk60Mhz_o,
 	output Clk40Mhz_o,
+	output Clk210Mhz_o,
 	output Clk25Mhz_o
 );
 
@@ -33,6 +34,7 @@ wire lockSecondPll;
 // Assignments
 //==========================================
 assign Clk25Mhz_o = clk25Mhz;
+assign Clk210Mhz_o = clk210Mhz;
 //==========================================================================//
 //									CODING									//
 //==========================================================================//

+ 1 - 1
src/src/InterfaceArbiter/InterfaceArbiter.v

@@ -319,7 +319,7 @@ module InterfaceArbiter
 				if (!spiMode) begin
 					wordsNum <= dataRegSSpi[17:1];
 				end else begin
-					wordsNum <= dataRegQSpi[21:18]+dataRegQSpi[17]+dataRegQSpi[16:15]+dataRegQSpi[14:13]+dataRegQSpi[12:11];
+					wordsNum <= dataRegQSpi[21:17]+dataRegQSpi[16:13]+dataRegQSpi[12:9]+dataRegQSpi[8:5] + dataRegQSpi[4:1] ;
 				end 
 			end
 		end else begin

+ 12 - 8
src/src/PacketAnalyzer4Mosi/PacketAnalyzer4Mosi.v

@@ -64,19 +64,23 @@ wire [4:0] selector;
 // Parameters
 //==========================================
 localparam [22:0] DECREMENT_CTRL_COLD_PART 	= 23'h20000;	//23'b000 0010 0000 0000 0000 0000
-localparam [22:0] DECREMENT_TFE_2_BYTES 	= 23'h8000;		//23'b000 0000 1000 0000 0000 0000
-localparam [22:0] DECREMENT_TFE_4_BYTES 	= 23'h1000;		//23'b000 0000 0001 0000 0000 0000
-localparam [22:0] DECREMENT_TFE_7_BYTES 	= 23'h200;		//23'b000 0000 0000 0010 0000 0000
-localparam [22:0] DECREMENT_TFE_6_BYTES 	= 23'h40 ;		//23'b000 0000 0000 0000 0100 0000
+// localparam [22:0] DECREMENT_TFE_2_BYTES 	= 23'h2000;		//23'b000 0000 0010 0000 0000 0000
+localparam [22:0] DECREMENT_TFE_2_BYTES = 1'h1 << 13;
+// localparam [22:0] DECREMENT_TFE_4_BYTES 	= 23'h1000;		//23'b000 0000 0001 0000 0000 0000
+localparam [22:0] DECREMENT_TFE_4_BYTES = 1'h1 << 9;
+// localparam [22:0] DECREMENT_TFE_7_BYTES 	= 23'h200;		//23'b000 0000 0000 0010 0000 0000
+localparam [22:0] DECREMENT_TFE_7_BYTES = 1'h1 << 5;
+// localparam [22:0] DECREMENT_TFE_6_BYTES 	= 23'h40 ;		//23'b000 0000 0000 0000 0100 0000
+localparam [22:0] DECREMENT_TFE_6_BYTES = 1'h1 << 1;
 
 //==========================================
 // Assignments
 //==========================================
 assign ctrlColdPartOr 	= 	|dataSpiReg[21:17];
-assign tfe2BytesOr 	= 	|dataSpiReg[16:15];
-assign tfe4BytesOr 	= 	|dataSpiReg[13:12];
-assign tfe7BytesOr 	= 	|dataSpiReg[10:9];
-assign tfe6BytesOr 	= 	|dataSpiReg[7:6];
+assign tfe2BytesOr 	= 	|dataSpiReg[16:13];
+assign tfe4BytesOr 	= 	|dataSpiReg[12:9];
+assign tfe7BytesOr 	= 	|dataSpiReg[8:5];
+assign tfe6BytesOr 	= 	|dataSpiReg[4:1];
 
 assign selector = {ctrlColdPartOr, tfe2BytesOr, tfe4BytesOr, tfe7BytesOr, tfe6BytesOr};
 

+ 2 - 0
src/src/Top/TopBochV3.v

@@ -71,6 +71,7 @@ wire valTfe6BytesDataToFifo;
 wire clk60;
 wire clk25;
 wire clk40;
+wire clk210;
 /* InitRst */
 wire initRst;
 
@@ -226,6 +227,7 @@ ClkGen ClkGen
 (
     .Clk60Mhz_o(clk60),
     .Clk40Mhz_o(clk40),
+    .Clk210Mhz_o(clk210),
     .Clk25Mhz_o(clk25)
 );
 

+ 2 - 2
src/src/WrapFifoChain/FifoTfe2Bytes/FifoTfe2Bytes.ipc

@@ -25,11 +25,11 @@ OutputRegistersSelected=false
 REG=false
 ReadDataNum=false
 ReadDataWidth=24
-ReadDepth=16
+ReadDepth=32
 ResetSynchronization=true
 SSRAM=false
 StandardFIFO=false
 Synthesis_tool=GowinSynthesis
 WriteDataNum=false
 WriteDataWidth=24
-WriteDepth=16
+WriteDepth=32

+ 261 - 237
src/src/WrapFifoChain/FifoTfe2Bytes/FifoTfe2Bytes.v

@@ -1,7 +1,7 @@
 //
 //Written by GowinSynthesis
 //Tool Version "V1.9.9.03 (64-bit)"
-//Fri Nov 22 18:48:03 2024
+//Fri Dec 27 14:43:53 2024
 
 //Source file index table:
 //file0 "\C:/Gowin/Gowin_V1.9.9.03_x64/IDE/ipcore/FIFO_HS/data/fifo_hs.v"
@@ -17,247 +17,271 @@
 `pragma protect encoding=(enctype="base64", line_length=76, bytes=256)
 `pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
 `pragma protect key_block
-hcfdkzMLPxta/XqmU7ahlrMXOiNAprFK/f8c+TCqTCWcZSxLLm/U7hUVeObldS4ujxRb7cwyhbBm
-pcZVnu1jWKhQbjKtn6Agbd68t/TpC1N6eRpPRBk7ZBuzazf1py6yMUEDqGo+YZ0CsHk4TwhUu7nL
-k0dSSCDUZHL+JL+qZUrIRu+xkFYXJM/prEu/O+vZjhZf1Q6X21SA0iAa74+UHYT6oadNONzRKmX7
-xSOs1ydLl0KkFFnB2W/FCYV5NIfUjGTLVpAnXIkSlV0DFZqmivY2D8XOwikNDoY9bE2fxAQLQITh
-eHwgUqVWafiRrcSH/ZCJtsAb1tnhivSXsNg1tQ==
+nvzV1Il9ducjzxrWNwHMYNUh+kOUmQEdi8bSOtdgw0x/rYSQL8zENyzqTGiySEgpg4vE6CcosGsd
+4giVHWrLsGKRx06kpIqpxD8joYtldoT/DF+EsAj9aeQ6DC8beTmFKVwGAQI8PQ0vf31vvAQrxXgu
+9wM2httNHvuQdpxmF8bJq/40hAA5YKE4f0MumlZDvGs5K5RI656GWmnHibVn8Y+h1m53H7z13Ijl
+t/mP1u/6z2bGwLqYm0RHeRaCaaMJ8iPwSqkcrbD5CulJM9t6bQ/zLkTeacm9W0xYBgVi3Vfc6SuJ
+4jVVqri6IQR0iFmLvKjQGVqDYc8AqeEOf2VCTA==
 
-`pragma protect encoding=(enctype="base64", line_length=76, bytes=13056)
+`pragma protect encoding=(enctype="base64", line_length=76, bytes=14464)
 `pragma protect data_keyowner="default-ip-vendor"
 `pragma protect data_keyname="default-ip-key"
 `pragma protect data_method="aes128-cfb"
 `pragma protect data_block
-VO5UclVlux331KzIuJC+BVXeUDk84Ju2gFvH4xYh05Eb7c1yKF4ppDHhJua6Xg64viCQENW71uq0
-Vz8KtiHIdqD0LujvdPCoPF5c12NNPjw/YlzvGTtypzT1qB4/PIjhf6EBWT0FcoTZ0M+b0GvWMob4
-x1GvEAN3sgHUGZhSA+RX5er1YMNsMAM6qukyQDZDTa68UwvqqDxeNQBn7YC5h+YhYu6S/MBi8/x1
-3g/PouhHMppYFUJFpki0sd50QZXftSTOV2R7jszadGv/FDNwzJKU+Oj1/LOsiaQRhDPmqYht6VGM
-EWu9VQxL03GVui1wR40NgHW0Ife/8ZyKy2xUYAuwkDUxSYfahkjR6qUtfjc+Tv5CGe3cC7+nNgIc
-suzpE/P1F1bk42SpoqTJtUkb69F1egMqaWkJaL19OvRqgF0MEfbDODyC8tRleGnJhsE63gzHTLwx
-UJ/uFA8318C2SSJh6ULGXqLAu3FrE3RzimjaB21T/VsnEItzJG6X2yA1QOiufTuJurJPkoMcKwdM
-Bf4FmR3cn1trhlzbN+j2Jvh6FYsjqtRHc1ohcxG+/qxocTP77gwVEMDyGl/8CJf2UbObFsiujtzd
-1Dm5FX4q22wbUMi8zyfUvVOjbS4LdmgkAE1QEFKBvzXmioZsTa40UlPNzp+MIbU9zIGTE8L/Z51s
-583v+whS34NKcIZjSMA8afLN7UZt2qG0z6DcwaVB7p4xRGE7E4vVa47oYgHJweaM2u+WtUFX8Fjv
-LHsxeEjTVaNnXAJ2s/pz/XSuaV0T5wmzsL/NTBWix6ZYArjmVxhhnZJF/XMSAErK+lQJ4MRymEAJ
-h1P7jhJZI1oJ7zXlXhFIJkbrE8SGP1LUx/FWdQFSnpQ9kRfX+vkIm+ICwtQV5IpduplxDaRJ23x8
-yBYjtigQijoguramnsOiUjyXuGAobOs+pC8iobnZ5TfAyDIDXrUVpFf1Kw5Cx1dKkeDiCsoun6Q/
-OOhb7WCLIO2k8lQwhl/9Mc25bXUG0bngxcokRVbigldY8E8Y19415ZkikvkWqiiR37G5OJFA8wfH
-FVmWCPvdYzcXXjaFkoq9U5Oxkx4Z+ARih4EYUzhNNhREENvOl+Vz7q3+6vmX+ENyyZwaFYhzqUqd
-G1PPFpGz1xCd9ZbE3/yRag/UyzX1fb/kkB55K2+3V1Sg9UE9kR3QGH3MUZVhJmUtRGz42LqRj8bJ
-MGpKr837BhnxSs2Am6S9J2XUz9Nr5etXafpVCGiI5hmKbI2u5eHAkGkPDz85zT859bJXcymxjWgO
-+Y1xnrd0hnsi1EyOAbTqZjKz43xPQy9pPjLrhGd5ebROHv9G5wfFAQsvwfv6NMqvHxwHe8jm2uUY
-kEiwcOb51wXRdxigdKXgFzdIJzTbt5VskDQMiydrOgjF0ImiCC5vjXBcarz7NF4IyNV0KVvY6aW4
-vBmGsemUGfSpXO9tln8lb55YtiTZ9Vn+7FIRCMk+vK3MvlcRlLlf/4Fxlv02q3Ne7bHIq9rOq4CF
-lxpbh2awW1s8F5eu1Kyc0dz1emiY2CFsBlenpjOiIEHz7blkn9lkvSkLPf0F6jpyaZzSjZdLqqND
-j9B9HoEAEPhequmYjSFXirdRZ/PCYZrkf54ifowYd/ZKM/m98hAIZVEsI+9J5JcVe1W3M4PwAE34
-os8k7Izp4UIdLYD0kCcxPSEq8Hq+LrPcyfTge3BFce9RWvwY6rUNaLS/eJA/1+a/oB3ziB9eo9Wm
-VxTx1s94rMikdIL3rxnGivO11teJDTUkZ8Txr7/q78cs5Iq8NTwZQz2I8QRUwcTJFyznv7jTw+sf
-/Ih0oEXj1UMTH0N+kpK312y/XYg/bHzbkqTnjGCBp7Dm9gNzMNmsEIun3z9LLF9wuAxNLZMyZVVH
-OZ85wb3iEyE2cZYaS15ifWybymYGepzpdkv/nl+pcZG0uullXw5SzB0WJzvPQcrqk9tGA/4m+Z/e
-yVRWjBuoWNrT0KYC2n8vvU9RPmMqyMA2wY3GvPZIDtJNWzHRWSZWAfbLmeiVavetwRqD5QlwHLhq
-ei3Gejs0lZ2NoUIgsQjKg90T09bR/3utpPuaEKEig9U2G6ifgVa2z6uyDUVYxFgd7iysF6CJdlZG
-FINnn1DMh5Zjt+TrzmmsA6Te+Ce0FyYXRchOA7V2N9OecrOYb+ohIVDloLc8ef8W/OuJCfSGGKZq
-4jj+/ABEICNUjWzmYA4L2qhjxNTkZ18biVT17AS1MroUWiHwwkFcDrmnnu5hA8btRqD23ru8L6qR
-Pq4oDM8Iq460CE/I273cgGB6tLZ/BcZ4xacMYnxe0lDMj7bbnw2BlS94JOsLbxvAamnbiEUdtYTW
-rqrEl+YMgnOPK+J4uKjSB0cKemPnf2AYphejlnlhCrHACLGZItfBrb8UMdWzJboU5R1sdlXsh6wj
-BMlgfbJpVpiXwlnRAeITB1gmAbfhF+Nyr3pbLSlhKMCURwcS+7ARFiiMuo/mDUVHKZytBNHnTRc6
-KEvWcgM/AguvN3FLr2h5GYKemGi8YVLfE/Z4lDF+n2mRTN5KEdXawtEnxW9dcIo2yEuIry0srRYz
-iwsSRa5JmMg0J8+iSnp4UPsRePng9x35J4ZLIChHvvXdDnQwDH7i3C9x2KaZz35PU4/jazfhtSvt
-SmFzbDkrV5li0923EPIYbNxQzTM87j5iL233o14RTv77t3u9/s1hcg6vk47Rhv6BZOlWCoCR5uEd
-ryS1YVN/+RmOfEHpQ54hO1VAAIgyRpa12OYg5LAVvu/aLh23PRCkojqqrC8CAmYhJuZx0P9t9+Nz
-276iyh0tafyi1JT2Elv3fG625FqX51hQ63XojjlPOrL2FDkVY8MVjjIf/eOqisWItInf78lvMiba
-UH6nVtAIXQH7wE6uA+lXMUI1N541igNEt0JpMPwL61a9xf+34AEn6n0J1EQ1UC/UhBANZmv7cNQJ
-qVPZF2wCRo64zYsRbi/1cytAXQrI4BEbFzE0B+XprYJRcs0icUN5niEIkIUwUlnmOolTqzlaOwqa
-acRsRSLZb2t7CdH2ACK5KVwEZfCxy/txpYCkp5Uj03uPuVCk9kxDpWz8WD6FLsJHFpnSyOW/kIE/
-aFhdNu4MA6/MLxYWHcYydE7Wbqbgk4TlepW00eZgnuaKzTu845O0PUyPRffpOv1gvTXA3jVrp2A9
-Pq6RjYmag1W4/HP2VwOvp6GKTbQBBdlBKRWi3xXODaq12Xa0xBCbFkDiQ0eNKMPVtRBn4qoVIEyU
-6oltJZNxU3550AkZRfbmTUWL60HlXby8UH68qgFBTqin0741T3w3v0R4nTWjdWtInkF0nbD1TnOy
-hYI9K/8Z/eS3MrGnBA9rHjlTP0ka5M+1oD8LyoEJESOTA+eOemdk2JyNzoW5FaSjXKnmbgci7G9u
-G4B2dJZRlqmiDcDWH7dXTtl57iyKKi+ADgfEEN3Tz75e+lBgGQd2O+z8qsGn+i5cfPE9Mj+tVbKt
-ji6R1+mnRlPKKObuGkYu72k3EDSg3xI0/ufUmw1GeFbMvd/BR9BibZrOmhUHJubTfMkBhg+eVL3r
-9X4BNoxM8OXwEf6kAo2ndmw4Oocf1UrJV2orWITxOlMCV23RB+B6/dx6ewEK5klBC6b4dE3V5cnM
-iD09J8xutxsuBwb+of5TBn40Z3psloTmwOS/a7rzWPgKOR3IM4Cw6ZdDlhszLcuBjqs3Y6qw44SE
-LYAebbRez7/DzBCNkSlUY/DkoHdTpDDwDVQ4kdzTRaxKnlNw5aN7DpJkFfaymnhJuZcsVs1wuLD3
-hPgcG7WsCyJDwmlfwmnWdICe0iCbucWxmo8wSOxDVJMJ9B+qRdxhS0E1UVHS/6AV6A//UXU9bIp+
-9gBQLZXnOC8jKtdcf4oQAqYh3qDR67Q948ExxCjcw1s+BTN+XApibmycFPwILqGZOJ/+UNPJRf3v
-xiiHQOS65WXvCf9Sr2XZpoBF0kuiwOQOiIDS19+COdoVWr4kZeGn5bGb5qwXAroPg0LWYxrok/ci
-bCpv+Bbr85NXX+aRPXFqqnn1fqpx60KYYg40aDJiJdzZ1D8Luqn+a6yQ3nfOHofFbImBhkaviMOD
-Ufxbp3bfXviuISyv5O1pX4MZDGXLuBZIuVB+TmXOJM5GYp5jlzxQ1EMGa2tGssorptALYDMH9um5
-4SbUsD88F72PR5briJ1RD3hWg4uuHBz4SdGPBw/upyVUmTyGvUXT77w1Lf+gr7Lao5a4rRWS/Z5x
-1MTlZONHBjH9gTokkAbKsspzR4DnlZFZ/eKuM/7DTrFwMughZOUxUcKZDXf4tkFr3yQ3Ioo8bEKQ
-5pJdCui2r3xmZRv3KDTR/QEbNX1diU9DkRB07/z6xq976kvURyE8uRj517xNFOeiYxlEKiv4FrJs
-vxHwRMS0ViTDgaKAJgpLtHad50ijlS0KwBL2NGNd1sy2MOtGB6sVXlFHa/8CKem+g0OSHMBxjx7h
-YQDNKtGFiNv3gFJilffFJ+CWaSg27el0yEbuBZ2nT8L3FR6dD1U2eeajaWcw33F7F2TBcG/iFxTu
-w6PFUWb7hfRHWVBOchBdbNViu5XaxgY+RIwgfStqnBLiob88ZnqR+Pk9cvc4mnQ1vi0cIAVekEaS
-3Bjqg63mdNlcOMSFRT7xYmeMcupyIAKxQHBNGWxQWl8vnjE25VS0XNw7YJ8QWli8C027+rkFIQR1
-/YULS3LbDHda3OjAQhL0Cas9jMX7RJeFJppM7CCACQ8+u3hPhPUIhOIuKNPYmdSEZpjtnHd88Mdb
-78Es5kccpwqtSfe3MZZir/pks3JJlZ34RyKx5C/z+LKgPdI3MsY7fcfHnRmxycHsODDtiasifu4j
-wmmAEzi21fBUcNEelQVcjDrRLkH+y8tzTUukqYMmSfzElkGYxZLyPVJ1Y9POODQQM4Eoo9xsVUw0
-kwJeAB3y928wBgIRS5z0hsGgyMPbZyhjjrVaxfhZdL+TtjY01Bv95R7MDAayxpPMmq69KKcAWKkO
-JkvmttJaypFuWUH2DXW1qgbqj3oGri4ZNTAxz1tjsqE6LsQteZCUiAg2xwae7LGae5vctH9eFRBJ
-UCXt3VQ0uh8tuN6eq+NdWWrgQAyMfgnpN6XooGbw3OksQJtpG/DJFAQxqc/Gssy51xrTtkHPb8Tt
-tgPc15HZfjJS8w5bxNyogmT7kXO6EvPwgB9FHEd6GvRuLIlxFL0aFRS0JC0J8JAW+qP1w9gFhbSx
-0nXJmEaGGRuD8YGpnq8qzTyD3x6tePro2VSvWo4LPfjYTnVbOdtDiJ+0CSMOXz1yJYm2WAWLaryL
-pKdyQ3A+12HALNDnqsfXcnV2QolNSHUPAvI/RyejJD+AVWtYF9xM1lGgfnOdu3IMYQgpYvUv02EB
-sIOc/069KodJSgg27nmhM03uMoFeOEb7VCBaG6rVSRGsh3i7znAmc75Ny5Flr1cQjCUBxbe8mkpR
-pRu9XcQIo+jIkLX2wxQIHVoQT4v2TyHonMQ9203AaGLBS3di2jZi/to9xvrN2OFdne+HPiVYy09s
-8gi/DRzLNSOT/KH72JrY+tBX7De0FVp3CRQb8QLrtEFyRC7Wt2yASO92mMKtFsS7ZCEOi20XCpY1
-LeubMG6QKapxG7QvQtX1jH55F+iSxCQZdV3CMTkbI31ompMlsKrVYiAaCsT6rw7wPk7eLVTg3av7
-MXr3ioF0hpDGOMQHREdzg1Jmf4Ogfrv2bvINGAJQA3TcSsni28wfGa7gDevPkdeVVKgvOMamDmyV
-a+XMY5WuKageIdrera846518pHM+j+ZbfIbD0LUbKUV0NKDH97Af85huSxppDWW42esIXx29gMb7
-ySr39OW0IVw3BYgCglH77fbMmvDMD8b5Ztzf+6FAZ8L5pPlThM6hUNKpa8jdwHoKmw12ay/G6J3Z
-CDe7K8KqQDueqtumxUzd938EJeipj9aO9tJyJW9XW+dRPbreaClLaYcJ9IoGZgxvdmNEPRV6IMNU
-inXpba19aQd+VDqmmP9B0opK5JhDF8rBm7kDCake7im0YVQ18ZOG/yad48LFlzv8dQkYSmfyk3do
-nJIUp1S85TC2gTJJeOgFCo7eCdmQdA4f4DrIrnVuKb98ZRO4or4Y9c6D5u6CpGN6PVZIXsymka18
-dPpF2pBWrtZnczoCcnf3VYKzTcWeupIRMH6DXrOKU35MOvWdKt3b9yGB2OE8qtjrhqXsBrdByN3a
-BCezBLhxmA7lyY86lwf2s96OWhJDzsd63NF6Ju2Juy6J+8daP0gxPxzny6zhFcvyh98VfTHm4ydK
-t7aLdMEzsFTtAm8vUeXZSM3JzzQuqDt0gfaxh3ZMdllTGMrW3E6FrIktzuVBPC4oXQiTqXyAU7es
-PVYdyo30CRCNo9pr8wq6shQROVJ/orTOEWZ+MIrD1j8hwHyUQ9hnnRh0eW//qAXHY36sSkH8D7SW
-cIt3x6Ae15Putgt5KxxMPX6f8WrE8+SXZVj7a6xLdwAg+QxDeKW3qL5Yqcecv/YUYiEK+/K/j95T
-Mx6fY20z153RhSRNXlwNqtEAkLhUlOVDTRWwLtc4ipCBJuFR0k/IPLjK90/vjSJ1IUQrkM0phHF3
-c2miwICbAawtnpc92l16MFzxY3K68+s8PZXNAB2TqVixglmgWApi6EI+Dc1gx2o4MBjpPR0VPhZh
-N5cZ/74cyFKTtJha3MaK+J1KmCdsvrOfoVHXPbqQoP+JBnPxs3EIH2pdHeu3ocDAWHX1+hGeH5m9
-r/WT1qfxhp+rktZP+6O6wt1E0vNHLLimRLCHB0oLJQknliaTpnlnzFCWWX/X/8BKgoXzcKD7e1ws
-ggcJawI5m4yIayWmGpkf90iWmC3hlFKmZpge3IdArYLyiYdhIWk9NtNGkW8yJeBCy0rst7+Exqt/
-JiKNP19R2NoBpkND+ec99/1/UocJfbFd30D67G6GVjWKZsoFwZ/bX8QifASkqgI+/y6ZFJp0kD+r
-WP/1WYA++i0PS6xKlCgVzwQNpBWqMHbbk+3ImjMP0UVG0PgZJaE1Td7IAt5sR9iZ2ZNC+RqzBdJ7
-Ax1dsJrGNoOxsAPpCyc+QzQmzlgyHzB4njVG8cLa0Xnayu7qXYMFDE/EnFQItLsQQ8UUb/x3vtDZ
-BRJJOKAdKiKo02sNUQ+Wht1jONS8FRwLRO9y78caAcAQGGiPUjjhifKahlkcfInJWwBsyPNHSu6A
-DYzh9kQFiAbi0Okh0RGRRSKo1JSAQo9TmJUoWolG0cey75UblahZpOfgoJ78sfIuYVpp9j2B7Jqn
-ijuxexDlMdzBzgV9NetdnNtjDqwTWlXwGTV1oPv8D4T15Z2l6bP9wiGeaYEs7ZmsjtK12mlhcR6C
-nJkhzGPFieIRkqeRmiDwS24MYKlDDKr6Q5oswuxvquNeLaZnR8moTJcBFvD70OVQRWqpCdGTimui
-wxeBPVvsAzZdQWR39HsnwDVv29GNCbsLtjHoB9+JdrZa2yTk1V4onCvG7l04gn91uTSUVg6uIhQl
-vYDAsA1hg0/SzrC8KDsD1JYnrJjqoem+DSmWkE4nBpN+LbjcgxKeOFlohpVA8eLzO+GhYmIrPcvP
-YnoGNiA2cQaKpiYCvYm/eWaTCiqA02rwHchPgU5m38WoKmF7XVD2ONqmyGZLlVsz/tl9Q8BdA4aA
-AFkyBQfrFlSk/S1JbJhlzFXr89kiQcjmuDvijwbFgAplq35gmx6EZkEzCFVD9TWzN43FgLWt0n29
-PzYzL0sRCqN3euGX8jmEGF9pyAXBrAtxAHDjzG6xILoq6Np2UfOjXbTr3C+ttYdAui7BRy5/r+v1
-NCCWqiOjhNqk0q0DwO3dsU/pvwG+yPRQO4dk3bDVhk44sHHTWDOOyTXJmXcHhYkVEq38+kKardEh
-+oGk0K2sB4QkrRC7GCtyShTF4byCeDAjmYUcpYizPOP8j77x5jJwziiZVUNprS5qgg/NWlMKYweW
-gSrVDROivYTwHnScFISMsT/tUWQBZvaC/ecTuIpjFntmzboLjE4hHYkGBVHPjv7wWujIF56lVVFc
-IbjG3KibnG0qJTrI9NLe5aDeFR9SquzGjHRx4SuM/aQ67/J9K1mx7R/p7vO5+CHSmYxzQznfiZIP
-Jg9ANCvllteFj+YpaPtXp/Gya51i81gxJDLFPilb0qAno4V7fGeBOC1Y3CjqFoRDQ6+1RQTFwOlJ
-MsDpKp4te8qCmNNBJ/91qsj/bJiRycGydtwECNFLaRWaaVrhcw6YuSlMTqd+yEASVVHvPdorJNS5
-tRtfP+oc+zxoC/CRknDJUEdy9rm5QVzMsCAhszBpNlKjTU5JOWshY7H5ky+zWO0Y+XcEjIr0Bb8/
-k42ZZmzgE05hwXMxTxPteqJzYE2Dzs4/iGyky560IhDHNNL8Wz/1lc8o3rhy6uYMFjrbVZS4mkjl
-X6vTjK69d3rZCcUKcn5hKKRKjCizh/+twQTTGItGkjCNU/p78dTC5RO9xvPze5RBCxNzOAOV9byw
-CwjRk7jFzVnXE0P7+me9jm/pbY6s4jwHN0PBiR0qA2BitTrTmgaxRIvQ+tzDTT0ewi/GTuGJ6iSj
-aWTxSk5QAO1VuA9vEvu7z7appHSW7OTGinJu6B4GoQDjg+l2q4tFZ8UGyr6VyrmMwU9GthzVLrMJ
-KljBhKOBBbq0cyBTP8Ou5xt6kBeZtxJ6ia1VLl/WQ727HHXNqri1RSpIblb4ErTHaHtGrEnVfxLs
-PEwLF9La/RU+UvKx+WuFpP9DQNLmkG5XnvjXt+pQilgGx3e/1QdYaDCaGZYfmxpalv9e1hIIPCmr
-2Jv+tc4OqbqI5Qw6UVI7ajkZhId8uix07peaC8Yzxkelq08dI+O17CQ3+uv7TtL6gCd+/Ql9Npli
-BGQ1xhUBWX8u2ozb9/SICQqV3OzVWAEWPHFh5UvkL2OcJ/9VXtxuNBshEBUFK6B87tbuya5eVnW0
-H0xVz62T3NKcIhI1quKsnl615+XWvnGe+TiIhhqgIUHfmNBkByEMv5x80z7VkcqBph+Q+GkhJl+E
-0nXe0BWLUT+q48B/osHy5vgNxKthpmyTjlmjx3sa0FcGkjiFqCriw0yFZzImZGyd6XMUtoaANNxY
-BpN+nnY1eetnh5YzjGfVrKlYS7R170JPbiFzs63NPz90QrvkeR/7C3/senTRxa81KKlbnBMFYNbY
-svGyOVOkiFZNeHTqTEplPMfPYRsicYFgYWOMyFTNAZTJB1Hxin/q6oaWZ8li6c4EyMIkI7zXD2fW
-dZszAnRs/O8/P3EFwtcFn8jj9RtO/A+axJjzA98uuJGeOZnR+ZsGZy3ruKmQKLKHX5+GQScte1I3
-gpMF2GlilTsB7u44W/ZqkV8ZvjG00R1jLPGf/cxumCf0qDUYSklyl2t1RgOofcnDzXc3I+lkn+oA
-Morg8/kk7LnO4VDsM+6GLjDjVWkYM/eKs468j6tL6hZPSiLxZ8vxIGV5Xk3txqh5/ccQ+X05P4gX
-54iNje0xzp1CLe8ohbkKKQJxlVHbmzaR1bM+n7qNG4k22E9YO7Mj+F6sEfvX2It0u1rwmy8YuADj
-cL7p42SZbMZfYhEADHvot/u0DO/zzyc8o0oRi+NyoYCDcEDQ4p82+37yVuCkSURLuwO/eYUW11bf
-FVF134oVCRipbCdYNfCh1A7xN2vA6eMWnYf0aRUpTsX65x99nWxWdfjgctPODoN4Y1/fHqfc1vON
-Q73ME2BbIKRHRpX44u51sKGop2GxjfW8MNAez66lwQv0iMBbSGD4ifJzhfqNkZmM9mxvCOTrzldU
-FJyi08kaBg0MajJzxn5nLpy+SUaYQ6OsIjG5+Cn/OMDKtrt3P3In5Cl5AXCt+wHCh/pTYnUNYviT
-PXZKFvpnwPM5jUA3BragEIIlJTknmnFgssV0R9exs4RKidAAnreVRiNL9cUxNEMWvwdQMl/EPRUS
-RN0rCptdWbapgLF7HePUN6QZ8PBVleEL9m2qv3c+kuvLH1ZAWRLYZgBCiBSJxYjVabQoXqHTAgpN
-uFm3mF4BVZpL05ZbcgU3j8vqjVYLyRiQUpykVjFRHIEEx/dg3IshiFwhxIAVckVy/OLsLYgpOqss
-RtOVwhhVlfFGNwHs1ahgORmfoOxj6/d5GGadFVOC+ptrGfqEzkfcTFCDMrGRSLZVa61ZbtMFmAnz
-cUcvnKexOpkf+z97mUC8x808IoiJ457T7PS+3JsB/3Tkyw5RlkEPJEmXcKOy6qe84n9xfXhex8TN
-SSoEf8T3ilfyp/x5gEVuhFwnphvJJSoIkp9uoY7vdS7S/WftP79vCqKfwshf3c9W54vD9XtgEo/D
-+l5lyY2RRQH1BSoCIgzPpYLmcEroW8bw2D438YWH5Yuve3tid65yK7IjnSKm9nBY2OJUFeOOiIrJ
-76995EyK6qgqKjOYqVSpVctM8MFdsczKJ4ObCe9Y6u8jgRpWnZ1kmzwoGqKVmQSCVi9fhN2WLNC9
-TJLrG2LdCZCjcWv47pEFDCs5+EllFNjuzNuY+qTlXjGY8q+6hNqN9NiTcBWDtOSF6mI/GetICudO
-MocEtYskSHPRhdubeB16qf5dz3Ah4zucV0Ukhj+4/9E1jmqX936BJw3ZixSgMB2m9XaJlpniMfx+
-g8uRwhgUOiqkJACeBtPTm2BFgioxMQLsrl+f8L+2pafyAVhA2QQ51Ll3M+vnvMVcp6qLJ0SlwHih
-QFZJ0gQSCmm70cl3UajI0omthr2x4GIgfbOBboE9aCz37hSAVFXDIGhr6MJEc0qB/04rZpxdrzrZ
-18Ds4PKUsk1ss6caIO0HAXmeUd21WnW0cZNaHWi/SkZR5BrL3VxwG9nar6T92shUVGLZUEINhtXk
-CjB5fvWy2NiD5Qb/kVT09osE97Ul0s+qyr+IE2bZxdgst9y1xVDIPnlOC0j+nCsCDQaIzteNfFUw
-YuNgLEqnxZHLe7VkYXNS/XlKPsWOGcLnk377WJ4/ZOBNfemfxq3Wa6t1Bov7qK3GS7KSn2lE5rlI
-TeS9FtjD72ECMvf3OcT5gYGPIIwDGrQtl6CQCVe5ePCiEQmGHX486pzJUnmehV7FehQQ56CjtPvo
-536zhS1AiKzr9O75Xhyp1dYKNfPUzi1iVYjY3GK7t3fE9wDlDC5WgMhhM+Q7IxOH0HXib90DnsPH
-ACDJRRNDQvTuO+neXTA5Wpqpjyh17FT/1twAeET0lUIuLiAPhdjHSfcsXVXxENTs+3EGXYeSoAry
-WEm5cOr/tTitVCPAA88LO0PymWMajKus3kY/6gjIPO6cTIP12aufcnQqFx04SVBtzF44+n7zIugc
-JY4pwc5JevPIO34oocRE8kaoy9xEYgZ6+W06dRUNQmJgRHNeZVMfANVd490YvVm37DkUNmdCpskS
-sLI1av+uCofj8Y2AYgsB+u1xT2RsYnk3oAWQJA2Bjrk4bPBiKumZnQHbGqcZJA67DMEKcvcBla6f
-WLZ68U1dyNwru5Uh0d9rXJMXsARRQ/OZzDYfcKS8tjuUQCim4KlmiVy/g99tCZzhOUnrFQEor7+w
-VpDZkAA8RBKPBr5eYYTTj5NGASnUvlCvrkURL2AwTwCjdDlSv5+kpF4BanjPm886ksg3fwwWzWdO
-YBkkdzsPcsxV/RV0k5duQNmxuC5Z7meGWQ84UsF4bf97cW/BOOWwfNY+dBehUm4tNT9drmv4S6IS
-4mjyGnMiTVsxkSLPbdNiNcvteNXBgWt53MycWJUfxO88HJZm+0oB7waKk8M0ri/9AQCTcF1CrAg9
-x3p+huBFDuhaCUckQAFGhHtpP6uH52cwJ1dbFpvq9TnNRytbBFPkh1YQm3VYpYSUqmKhBz97eW5s
-7WkbdbGQhPeGmTTHZNScL089lBvin5TOBKtJL2Sk40Z/VjUOTqaY6tLMGHEd5bZ8Cgm21TOYL0JC
-/XJZjUZ/UlzwJmeDHSJ+uwwQj+mAlAJtTwZwpUyJIP4eZBlxPs6S/JvpY2PvdzXHYqSWTupHSuqV
-qaFSNCznVqE4L/fhT69UVYfyjqgVW6cK4kMy+JsDijWfCySw4yWcHcBjsr06z96wqTM3eQ4oVwI/
-Z2LJY6EiMlu7sA8/y3FeMg70N8zdFC2kxJpjNYyYS45BqNoE7hRXrmXDvTcgcqM/Nem2aYvLtR1n
-QcQuCkVEYUaIzVsaEZbEaLvadG2Sa24hVILDnwWY2DjWgVkk3qoQoILeQesBZHweRETMqiWmKRDd
-058icAIBUBZQLWYAkRPySnuDYgvsi8ryCql3/eG2JV03eg88e/Dj2OZOo1c1HLJxlXU6ObQZQwK/
-7HvX3voYrAu6TkkgYrq6h4S1wwfVdyss44B0SL8QAg3tkXRYBnEt2L6/4N8SXdqJ2SQTmYoeZspY
-1krdQHeeyhB1JXjUsSlO8CCUkLtr+/94OhD3hiTlToqXI5CIuL+d62p6kWq8+aBD6fEKcxF8Cr7Q
-lxPDa9aXUKS11H3gpdAMyErvK016nQN6QlaHiBb3Bryv72lJL2cCoVBznNysI3TBAWyllvHDRCX3
-FA7DfnQUsv4YZDc/bnIWAFybtfVn77rqWlrgwGINKm50fcwCbsaB2CUjspozlxtTMqwBFAepze/K
-wqgq+eOyYAlXfX0QiPMrO4wfXjOWWMXo5FOlRsEmgSOJoEiyxM8O6h7vWsdhu9E10w8VY8bQZRX1
-Wq0xJX9csz2ajKVeLlMLU5M31jeJ+8gdqTUt9CB9DbCjgR6z8kchd1L5WW6lT+yjRu+UJBXhEv27
-QXKd8j/ZVWxjTaBpvK7j4teGg/QZVhaXrEcDokMUPPkjhrGf/hKIdZuERszboOOZqSqEoxOgvb/e
-S0kKu1yPxZ3ZX1Zqhhz6U3Zf4o/4QdnJoP+jcey2nmn6W2Mi0XAVvcLGxhsJ1vTjJVo2tkv1URbB
-s8Ks06TIYMcHTvSkkNznGdKP/Y27PIeVhIpCZWRjEhHpkTi51uq/94zv6ORECzokj65bSndGKiYX
-Oyr22Q28vJKLgqvdo25GyZOhFrHwe7DgFQ5jppsAS8Wfr5XR7bu2RbRF5B3/+JTrCw9IfYYTzWmj
-HyKhVLYbM/jz2kS0r+CQ5M6sXIC791to9H+lm7TbWa8WglXguO/czA3gAMnVwK6chp7Pm9QSdA8r
-ICbf8L12PXW0EzreTjTyD8uKPjlEvd+dI8DyDFMcmundmN18t977DSxNnEviSDrWDonFebWkBEw0
-yIcFTLN6fxIxlQ6Dlo+4cvwHyXvozl7peTgBPndggvLrVtwH3J2XilkZlkIT2o8gjmjKrvH2NqDA
-VXVfK5oDIcf/Uy9erY2AQmU17i4ExWCAIt7EQc6nU1Jj1tHxlI4g8V1NiODuIyAIVPUONBP2/M0Y
-Ss1weP7PXyBUjVIa62L6s16lTYEq+kZahYvxmKnQDKRwgcYvm6yS2YcBE98FXReqyg+qIQmY23PW
-Ncye5OKAJDmim1Mtas1VW1LYm2rM5BoBZGk7xU+BENVSqhlI7gYjObjscKMcEIcj8gp3hmQmQ8m3
-MwsU4EXvBjCNpoodUbc37BF4wBTjmoYvuR+g/dIkXmCD3OZyc4PZ/AGPbXgSRN6oI3BwWMwBlsHe
-HB7QGxgnkGwz5gWFA4tZyEhVo8nkuWdgiQc6t7Q0KDiNpGWN1VC1967puG5Y2BW013+2fbY9NE5K
-8Prk0MPUQQgc6ZjPIjfCU3NG62czRcSQp43oDGSDNE1gdrAPd0yHi9MxA7I4UR63iPdm5HlYKPur
-2+czWgL0ApCpxaO8zf7YMrfY8trGNR5IQTCe6YxZr0PIDSf74tA8NnxtdSIORJ7MJijc7xZWO50o
-K5Rg9a5jRJBpyWVwq8GO9ZN9QKQoJL2fNLv9ECLLKJLNfTnzHDWwqUSPyTLoei/dL0Cu4n2UAN/T
-vuNy6/sIWIqLijZVyWvNlDDwMMj+pyE/BIMOUpWgz7DlGOldSkLPqJE5atAyCnpVF9E7xs7eAB4A
-8uFypmUUpHFfwD99GqsA7pFNlpRh9ft6++DUsCKzKOjIJyYqeuvLO4Ylaj8sudgOXvNrN/AD6P9+
-Uw+mT+BxZWrnqVI553DqUzJ2i0ZBHqQolymQkt01URWV8GIMmSu5l3cIuEQt8C45+E3eohhV9eGB
-ucktewsJTwdsg/3yYwRP6O/eetA1dG549bIcKZ7QR4KQODJujzRpZNXU4c7gr/eyLFHwdgpCAZb5
-9biRr3ss+DFsyMyAk0HQuPKeiMPFuR4rOrEjx0w4qaJggWfmbWGEH5CMyKHRj/KD06RM/Pq5Uo3z
-BiGVOh38PCsrysJj1eRNWkg/kIB6pv9ps4uj9tzwdsRzkIGxx9dPzdOzXsGFjSRSv6xN+uy1J7GH
-0xeyzSBBtjE02/bWprSdOe/tJ8VvlLqXpyjwImFvLY6cr6VX7XW7WVXuoBQKp3xcaJNxMvVs8K/M
-MSBttNLic7QWl0i+1jdl2imvo4E+d80WzPH0pKQX8sc1EJfp9YAYuTgsu/C8pL0e/2UH9XB+/PGI
-FVl3R9EP/P90s0nREQ7ZXmsFrhOJCI5TkYiDuNnn4Z3WQJrTXzb3o3BpH+Cm56WxJ77SK7BBGa0n
-hgwJhQ90FGtYy2/EyVsaRQ2+9+8iw0TLsOhqicXciQ35dsxyqbs5ayzvqk6PH/tbqFhSOP6M8Vm7
-mtN0/J1WmrQId7W3EXDFMHQ3SSS89+Bb6dN1KpbIeJwyxBxIohCWBSaZ2sylVVcXrFvEWxgEhN0F
-3xuEkt//5CsGzx/fUvEvxydTKWfQgo+2vvQVi1msfrFWA+OIhurhTv43biW9EZzltI1nExYv1QmK
-I/dZCSf1AG9bh8CcGExbEsg/U0DiSAj0KSg+Re4BRDuayVK9ifkH1f3U8uPQe8f7SPs5v6LAMyHl
-244bEuq4F+C+IKQIHKgod6MKLZ8wlJiId4yCWXk+wxne51bih4Xh0wyrId7mo9oZmii5NI5hQ6ch
-nmFPNzTA2leYLDailpe/3OuCaRrGN5eDD379xBMrGS0VsJGjLKabdsjZq9WxInH1tTvB1jHIARzX
-NxXYKUv+J0U4O3TXl6TVhDzee7sHHEW+/ZTkf6oExoIEzQ9I6pL1GbNX29tZL4eOIjXfYhGmdBRe
-4JZgnNwXBsRLGmRQpaUju7QPSBxCdUP30PDGoJPdzLV3wu2NPa/7nr35RJDeLzrx5HVPGASanRXJ
-RGps/I/E72hZQH2sJbA5LAzi1mwT5cIW6qywjicSrG7NI5Im4Egbx7o6vp3k7k20nb2NLS9F5E95
-3zIYNsv8Zlnz7Y/pqVTGOL+j9sQUFNPfEWavM2xX2Rx/uzEP9RNRqmtvpx0pnhfX65Dpf9FEtk6U
-Bt267xJLGOuj2W94UcwB+FdbAwxfEIsroOI+oXH3NSSIoTYkJBaarcPhcwKuwdKY0gBP/lP2fz4V
-FZkRqMT9QOAaYUt3f/FOSgPngEtPCH/iwKybFemPfqrYo32z5QSbqNwwMHaJtVGTJQFTqtT2tmCN
-CZGshORcxUouNuKpcUjf0e5dW5s6eDj/NbUj0X5MrmWSa36q8JmDeQe17qZnQO9CHGh7Ud40I/eI
-bHSDlzsxWC5e1WNYXjwO2HG2r7KEyxzK/cscSlooZSXUNA1pdENQ0g1suWWe0IEvVKKSWjLMjXvl
-iGjaLIIkHtMr9AvqKZ+vevkkeSjs2rVQSho2xmMEfFHnv4OXGyS2U0VufnbHShcl6cc7u1iyAFXG
-LPg9bowQbifLHJneTOcJ2hsilhCVA5ystuqfqxvGwOnlayf95u2sbmOtDki/1g5RrkWZu81CaLDl
-IEfoEr4N9kQ7Xo5sa3LMd9aNWpkmyGYT1Tyz9yCdDEW0aicoi5fpUdvp+gchoNgKDg3N1HZZLS16
-90roYPHs95v0t3DBw0nOp1AASrQEWweTXorVuy3yvtLFWmdR4YTSRmL1Do7hc/SivTEhXYMyeUVp
-aftwwWanOXqlrmSy3sOoSJkEVLNyzPL0b9BCeEiD4r3BfFWViQbyJUeVNhNANvokdV5PKW957kZi
-i3HiV93wfZ+X+34aw4SprIzRA5AaF6+G9PgCoTDqJOb/KKHoFo38aaVQoOpRHyTbwH59pExvBb+G
-IVIe+zYpN8XJW0dGI+4mRtX+8W9lbDclQOYSFp6BVrQxPurjmz4x7YZM6SLJU+lvOmSYNXi2RhB+
-vdyErrScgsyonxgz1jn0BkAR2+UtzdNrRT+GlTZEETjNh7V5GJ/dH13UqWi866LBGYalf0jogrTI
-8K6cuquuxOu5j4PXv8oyNe57J55ykDu5gFa08tpyZpfLDpJSzn4wjta6mu3N+a3ATlrTfgHkWeTH
-gF4bxdmk911JcBRoabQM7eaVAcyCVlPV+1xmK3e7G+aS6sae2ImUxWSVO2aV9aKlTZCxomCOye0T
-vf+eWdFh03sZOtmiANT+2d5/7XPHebTXeQloafKM8ApGEaPv5xLCbrElx3LDJ1zcnNRZa5MmPMLC
-QfLUywEhvg4Y+DLaTdTDQhWxYFHnfFinb7lzbn7zbjXIbbi9Dvaj7rshBhh4mU3A2gGjUaBK4hrs
-klZKTi11dpCxR8vNkCmrvPIJ2PcAuy3VEH0trRuY8jPKchkCeFaM7Qz/DI/xn6WpiGDUB2IAaBYo
-L9USZ2ds3wBqeXqfvE0xK+8+k0esghlLH/KmJfRopLUNb6FDdLOFsIGjW8iaq8HmbiDvMAXIaFDJ
-AoIp6ug+CddnM6h+KtpsjyfHhAZjPumTATTxx+bIBS7qNvl9Y5yyCrqHal3q6oRRRLjcLh5EWT5Y
-y7yyxxTHpE463lfh0MC+K2/il2utdOqldHNplXpcx76ISjiMIaPWjIcGNrKGwkNNb4j1c00mwGDA
-PSARZY5T9dPgVDih6iIfsUlq0A4I42/GjNGI35wGLvRkw8Xs/gA23R2IjRtujkcjKYCYZL4vm9Le
-VbanB70YrDAoJFqkl+I0VK1Crxtp+rupo47wgL81HOFAbcnSKUhpjjPcgcIbU8OOoHqw3wIJv09B
-Kse7zI8rAutoVvsmhyMGzot/M0cMki9G6VvDwYovmfP7qn3FQrtghhA3HBi8pkIF+xlvzFO85V/P
-N7XPrSUTx9WsGGPAO4KDpl72MAy9oRxBhVXLSEub40AZGNJF5gHAD0TgFUQAIFWsoXc1IXnDv2cz
-xFrdNNfuvBekewd020usWTTqb6EU2F/+DkRCi5EV8WWc8R537Z0mJqcBBUofEtBggxukEXM7DOV3
-P+mdW32Q4uj6PJxf+ajgE6i4uVYagGoL7ViR6MYPZ7RSYxMOvXjvwMZXdWa7iZ1X8rfXIe5JFrw0
-huqw6pRRvWObKrpDodmIsiKH8LpLGezMTNDyAEZrpMI+57Baxiwk1IkK2OoQrWCcgkPjU1uETFyg
-QheP
+GgecOQDGWGSrgSkmBNhGrQDyzvf9hSjFZgjQ1XoGERWGBNuavr3djedVKszWnmZi8WrmZdaKUz7N
+1LN0nNgMj9+wzJGdCrRApgtwRjuezABhpXCmvkpAbpEdbjWIyvywsewoUDGUfjKECahMGMQ5CLiY
+GaClUtAf/iGBKFLXcz1IfFy7xOih73pG8suOw36pFpl+9FtPTQDqQ+BUVNmA3szwXo0u6WXgsHXp
+ACsPmaX3IPhO+9ryBJL1rqIOTTqjarg/GBwmvw1xg6chUt/BcMQjoc7y0f21B86ijbRx4wkjtPpe
+cNHBEZW/n1C8B0vYvpSZJY7WdLvjyM3Vh6xLBvo8M8vbsmTlmQl/IkiyLbIL3ZWHR/LM85oZ2CB2
+llzSa6Bd8xhXztlppqgQ+xx57PEGXTOgA39qijnUgSpWkzvurnm6ui79g5Eka9mptCAouITZwjmu
+WFzLVVWEKP09hcl6Fhe0DJIzAJbs7Zr7HzutRq05UznBYs7QXRe3yGpQTZevQ+KbyBWJOZ6Wbd6V
+qpoay33400eTF+phPU1QXCkRosXTZUXgZZXhJxTSAuPlL2ez7wxAu81A/su2UthV2v3w9fxKjmax
+AEZ8dgowe9vCS4duSuHcYgqughPPmqHgRG0sPaDX0a6/wv06m0HWg64FspMXqX+hk2beMq3GKf0t
+eAB1rK5G1wV4zerBZEKMYE2OL0KO/1NmoXCuS1woExRro0SFbG5xSb/0DBPVIHihhsHzd1iN3KNn
+KvJNqXMiqrXZDalcJVA86EqifWBQ7r5jpbNi+XRlR4+2ZPMrdKthvAog648ydgsXIg7TAjNIAnx7
+eeJ6vGlRL2cOIY8EmGkAXxhgMbXKgbif14t/Lz/DQmk8FrH9dJI3MUav2NjVoqHytFRs7c/B/t/y
+m9IzfYM0ZZ/5WRuU3v0ZDjqzPUiexMjD2Dw/q5ZAk7u/jIjxTT8mv9xo2xGY5KxngVg8oml813SV
++VZzgfPW/7OgRa/r3ky5mlxxLEejk6IBBuJRjHoCHrprKAsLtHELqZLWQNdNGoL4HRgdtnXD1R7d
+caNH6Yx1GO8Kji6UNp8F6JJJ32F9Tkp8CeuyGZYVkyVwBlkPTpqBo0Ddq75BjrRz83QKB8cMXqj2
+vYNpV46ivi+xbJ9VC2OAt2EmkmtcWNkn8U++COWScDiLhipoKfmiewD1ODwZBGRWlbCdbo+/pWq2
+9DN7Dg/htu+FV4fEzQGjS2O1aYF3ofj9hqEZ5g6CWi8KVWLdfRR+m6Axa83tKz6X3ssW2mb6R/LQ
+Om/TqLWEMo3pwUhQwn3kbqteiYW2uuXHcR9DJOgwmL6NHQTrsteGLDR2U5O/CuSfY5eHPWsush37
+/yO235g711caIKCP5CjVuXaBC5U4eLDx9NvtntIvKxef8+vUPqgWPMrfi6eELry7Q5u8V0BEPJU5
+lBshNCrA49AqDtjgOSV4FsF4kgn3jQNCckWuvcFMCh02t//3WvpEh9D9e84ZcYUEqmWXWaMJIus9
+BxUiWANs4/Jw3LhhP6WKGtvQKgKj5Pwe+6vvrmlDf0ZaxGCetUI4j0VVLFa+ALNsZacJbk1AQAYu
+w9I3eBSv8LVACAu7NSySXIvK7tO+yEaXlpqiKsH61qQzKQBTj7csuLE2YrwAd+Are0z7ux7ZUlWP
+Iy/5i7ohcRGwwd5R/Mb0u7NUQz9n8j/gIomwcae/kWPDH1/O1i3rFs2+jEsO+kYhAwkjm+sBOH3G
+ro8UqDZJ8uwao9A8lGw3gphMLvdaglJMzTuJ90sM9ZuzZeYN0BUWNBTuw23MQRGRfReLN68XseyC
+YlcD25gTscvbUPa1V72K9TcQ5wXW97HS/XoB9wGO8QvuMbWjHpQRnAW4oqWtrAdf/v+yCB08r/ho
+91QIZ4Vd8paP3oFTg7onfoaf1AE5teddoHFZwrG4Wcu1zEtQeyVZg03nfqBR1Rk72c48I5+EEVba
+xbdASvqlyH/Gt42bctp9/89lRs6xH0Ea3gqd+qVd7dLSjBRNdFtC5YXT1BpDCdQc5qGhjdDjG0M1
+mmnO7lRgSOkTOuF1SiSG/6tVsSsrAZP1aN2C4bys2zZ8P9tgzIkLxN5vtNzigNMnT5QU/YVIQFrh
+uC+U1W7WvM/rNMKLaKpTUg0h24gMLpUuTvroHyVO2fPHq+dcJKiTXHw7ZnY/73pSVx9iPCRpWVlQ
+U0rMbzWbg5NVMYP16qQ51sIFQplHuDnDkVEKDqh6NZ9exEq5lWrMX4CBHgz1Gt7a2NnwI84rYmHW
+RS1qG3qd88heY0lQy4LomiPRgP8am2yrw8oioTcgyi2rFOPl4kAoQ8adzGG3RAt9AnPtZXO4x3mQ
+Ak8W5ihmeOVXFMI8oakXkQCljJc5H5gcRXcrqPcRRtYzuZaTLBaAy7QWVuXI73vE0qEENTFMVdsy
+L5tW9LkdpiCz63H5aN6pn3l1NgeoucAEKtK9Qct8gJKwWXBB3BPObbDlHEeKpLiWrrFHA3r+ZMqA
+wflFoQktHYMaFnQsY/l6onrsdrNNJrsIc+DSMKOtgeC9psS/fh49Enugl/GinEHoh7UUv5F38Ge6
+DX/dlZNY/AGBxhlDSI4iBgBKpQt58msBhp+drYJw2b4OwnN2ZdnPbpUHfo9g4GjZZR1P9oWsNY45
+kmI4K6sGH1VAu1d8bE0PJhwfd8CrwtkADv1V70Vp36BfjJ2Vv7b2Av8e/yJ68/w07wMRUsKmy8hk
+S1bptrdacrp4AZEGDc9YXultF5JpB7C4t031OzwSMTVTp6U0CF0iNfDaC8QijzAQDEPI2LV77ml3
+E1QV9f92Mkckfh29q87BkOgSZfrtljVSPm3z8trZ0euVJsHucWXQf5AwIFVq/xWEmiM23MnKh+2c
+1vXrd6wEiMUS7vbmzDIPbJWPnalSE8bAqIimHAGn2Q8EsLgOfgSAQcUSbO+jF8pTx+jfmofpVDds
+DcPn5paYqYkFv6naibVfJw8QiarMMP4Xh64eG+QFd9cu0m2IQm20Ku54Uq+6O0/n3u+mPqoIxzlR
+FKkBW8i+f+2Bi5DWAzum6ms6AFBedS4Ck83MXdThyi/qGWulmJd+KEcxTTbC6iFJOmMZUQx85nrl
+xdiMxZVWp6G3xg6hN2Mnq2S9wZ254M50dCwYJvvrcWhowZP+fjvjdS5erQUSYj4KUVPJ8MLQF1Ua
+5RPWeHLPMWaf0D/Q+SZZYXQsUApN27ko7hj4yCbykNatEE6ivygUk3suOOJgkpQb1WzUNY/PWbRF
+NDHAXBJP9TaFWeN+ZdZSewNUiqgvQssQFWAmsMT0CBzQI7SFyKT/YukND28KPGlbe4PrkfHE8We+
+X1DtEAQI0cLqgDwEIIw5aEREPG3LkfThkpshI0bGkA8OLEL/an0TVUYUgIQfIHluc1iMRa8pRg+N
+qnVBKLJoOcdZRJDbBPqYlv4+Nh+zABii2kL9EysZK/TxuZUciiOicXCkHZGWrgjbE+LNAp2Ns28Y
+WhOvmjX+UUtjWOXFK+ivmm/zmAWiHByrRsJteP7J/+YAurh5ugeqVA/8pfdWM8vASUIET5vm+llE
+py4boV74o+/WlGtibeodXPfnagFfgsIi9VkimJcQJ+NhPOYIYNfL2nWU2+faM4d24+kpb7OuXTkr
+ew0Ox+jrGQK0cBG6p55NgNdh552qnWSBSyOahxcPT7qyBm3wUZ0BZ32BatWTjoqW76ZV8wWHKvGn
+jOUZWraOOt4XiXUqHrVhY2iTdlHwTHoMccitwpRKD6QKCPoMs3lUSn8a3jwbcIXocPOOi2ldMGNk
+Ds+meOz8RJ2DBAeqcsYQZvljS+0KXfvkxuGjPX+CSovGs5SNKcqOXT1GyB8qnleD8WNR/m+Ltru2
+jCuj3MNQoReQ56j3PLUt1jq5iGhB+9NGwodwDaKCsN44AtkBDYPP2I83VIhvqpsJh8PkYEBceXXW
+6FA2KVYhADoGRU3uTR+3ykjxNZ7QbsWs+FVhA2KP4vBWfUs18Jvz6EItcer1D8ERlQ9aGs3fzotW
+I77oht5WppKC4hYy1qsaU14a+0/DkW4HV2W2+GgsZxNCJbZLtCPI2bTIu7HoBqOJfVenElAa3nf0
+jxTzOFkIo6WOfPSm9ci7My8mnhmNC4FYvsxB+KO9pekPgonMnh/hTInsvLnoAjJ18R8sXGvOVQEm
+L25BNnKpelTiGeH4TpVYz7StdVd3nPHiMtIQ4UyS1bVMcDdjU+m+TXk5pbirWN5Uoa39Zgak/hwB
+IwdnZhTE4IxMVcwR84rThQjP86vQy744+06THcqNSiWndKPOi0VS7PNW5tL5ksPc1jKGaGDkbjJ7
+bX/PNUKLfvjOuPWC/hpbpeXWr0bbW54DGUWFYMER0jgzCZKCHSsH3F+yRA+613p64gRa/fdjRv0M
+FVweQ+JvJCaYPyo+1lp7YIAF0p87F+I2lVlqNb7fDb8c/Sy3my971eTun3oq+p7CnKFU0aN4bQhL
+Hzug9PvxVUj5f/ORHRQ9Z9s1zep+BJwLrahtDSJfAsW8x4BmSxRZ6m1luOE1nRHf0qDLBqGMPcyV
+NTzELqwra5PSYt8JkcANuwioDHKwp1fKYbjaAwj2bK5Nvbtr9wJWF7kPPwu79IxseJnv8LQw+KHn
+gP+ijCu5odnkDniEFCCqM9OOFJmdIeni5VgGG9MSn9brbWaVzFlQkaM6VJt/42TF6ReRHtI8kFxh
+mIwUzEwpSoFW5gN6FLLg+uKluH2InnOzjGOoLtXw2YnK8VEKFEoThnYdIn+ytzA9H7xvimilYczY
+vkAtGbhsKHyNbF8wjCwuT99ycY3/ysCqqhJoUzOuTYfciyNDWnTcSkE2ciABMCrqJ1fI2YgAcK3H
+viRXKPNIps/SugWvx0VGXBC5P5tAChI1RdcQACo1P+i+AjIQRdt7uvCtnl1/k7bcadvbVz9ipmpt
+b3P7XoputuQdVlzxSVrU2pc/tIlhfeLt6uFBC0tKAurZbOactti+TLAf18t2leiZYyEIIwnSilfc
+kWH8IfrBAqM3dfhZrzv2x5jFH9KtRPEUxyYBf16c0vEWEvtgalQaxswqPL/k62oIm+ESsUPCyyFn
+9gSkOVwN11urDaDyu8hj2ks/iyF9Jghua36ukKoRtZcBtsGs+G/PU9vFJujp0YmQVE3c5fwBKZI1
+qGUk3DHpF1q7cz1zvCgq+1cuIAaKahzwdHfp0sod5QT73WfO0788YREvLd/OHEyhB6Az4oOEPzq6
+x1sg6aJeEBK5aPKdqX0pxvBLTyowaRL5lZe6bJhUHs9AzdoOTqmfdc5SWegdoDF3vhroNAmMSJCO
+uxLw5VCPxAl1x9sc5vnJijEgS8IzuQF7y5mLy/SMbrIbltMwIUjrAIRhXCpkLaCHQquV7Vd0dJy1
+3N5NTJwaAJAPOpZohJRkKBtuNmm8aJUIi1a/jPLyRNvDjEzvd4nUBIzSCNUdBjmJj9FucK5kyjFd
+qdmVG1bw5krKYkjQ96QBvzgIv/5l8Y1Ur7dZS6YPUZuhJyV8ipNTtAz1inl78zVl/cXShT+zfz2R
+CyByy58yseSCU/ItRPzOELavn1zqCLoiImjAxHi+aTuxwMFedLxSPUD66XDb/u8jiQl9KSCCVjXP
+c7axXPTh66sWyD/XPUFrpr3aJE09YSTWZucDnBvPVrkaRRa6GT0TwOjV31Ho2UrvFBOzl/LqJ649
+J2kydR08PEmw6qO1xQR2tUD2eKCJEx08PjeU/EBORGrbNr6i2NmO2rFMyX30qz5Q5PC4fLHlawWq
+9uPNncVm6Ykz+VJVw1qxpQzgnPai/pL4YIxOFYR/jfttN5zI/6V9MyqeTOe2rQUa3KrSVr5F3GJN
+sQ4VzwkY9wzpU0ZUsAnXPc/m8Z3oMGDe8omel14J3j//EKaNG7JV2A0Uvj7V0R8XorOhzTcyWyMd
+lrXCAOarCkdpl2lQio+pfBRPx99aSM7HQHJWbIjtRhM4OpJ+8EfLFacxs+hwKvVOgibNgGvAwwh1
+23MFHVEhHyI565gOm/xO9Y4Ek6N3/AZVHkk7Eczy9qUpBNDcxhBy3iCxGCgkAloB8cPoMIb2uEwK
+Wl7Ok0byhezVl8RVeSYJWHhiIDbYrLEhiwA+vRyf3e2B+cto2wbW9T3pMbCyX2QpHk0qDKOFiXew
+VkXe3ArLJcj2f/BzYzwZkRF7AlwlHDC9Dh5EQ+3aa8+BUDni8gLxR2/hMyC0qVDJDzpXLN2E7siB
+AMwMjoqS45rufgNyw8xmUlrT3JMxlxFtrp/8H/HkKjEi8DdMBxlFvRvzFKRIWrzadnRtdgsAtf1i
+/mEjfamMgsJVOrfWEIJq36ai2SJ0+8mr7wRr9tJXX54De3fQmoxm5iGYuI+B1fLnWe+ojpq9q+/h
+ye0kps8VsVQixKlgcuH0NTVzEwWQpSDORv0L7HDaDfUkx8su/NCzoAF8ZD2ralQ39FwPmMKA/cjS
+ZsSKu2ajVVOaowfkQCud1tuxq9INbtqtoSQKM/4b4xqQbcOKrAMercQmRwchR3JHumFeN9Urg1y/
+FGr6/gIsUvL2lTVqe+/CsVeFmak0OJ5vqCb8fddE2Zh4F7Oq8H4CJSfnfkIt+ol5u5iO4vr3zElO
+do1hYNFV98pQOSCtCnSmdDo2Af820SlDLGNfURIrZAmBtYyiw/X6ThcVP7W1o3vDjHWxr1dIOu1E
+vEAPK1uhuZErC+/5sL3ZFy9gYHPHmGHKaw2hiRDNrgEatKeNC5CwPqPhwbYpL50JU0SDtvQCCxLR
+70nmOcwmFbJDwBZDPx6miAo1Tn3ulBS2X+i5fOXOJ+yh4+9TFOM11XFkba6dDWjMnvgioEC9ljMf
+MOGGxDsBV8FiWicH5r3X9ZICnyduy6tEp20h2+5kThJZT0rFdmfscKlSbRtwmyMohQSZcX5X5p8J
+FEKcEyUqeejz6rGkVNnmD5EXCoOSpb2++2r1w81dRSANIhVfsb6nCJCoky2/kJw4s/eefCOFj+am
+vrr6UgPnI5HxnnEXN/jcwkZAgxnX/UFarweSIwze0V+K5afeMHzUvU6VAh8nWNVoNswIJ3O8X0sZ
+AW0E3AjZ2JhT3IRZNfKxcMDRwygnKAMANvL1pvdmrcFyJsQd6KzgqPWK/KFF/tFYrAfTHqq3NA7c
+YqRAYyT62xDDIan1uKX7R2/zFn2I1hug3OvUwxSM8uN4+jZ+5FezEut28A4R1vcEJ2ZxlxRXFrqG
+pofnI249SXCk56FuOukFG8bVEfpG7Rl7ejMcGdOOgbqY4JaHiIjijXzkgLu5umhQ4pbHZx53pHPu
+rU/qzwSmKzjbleK9P63bC6Du0cBJhrjHGLlh0JyMZ0p9a4uCugey/WQQn9WKIPvgGPDtdOoTY6BU
+EpWKd0ZaSuACYD/70XwTjDxGPdKIIb5oj4QjK6CbGILVWsgdigcGQUvIJL0tbX78mq7HNv7eY8Ug
+zV+Zw7+G7IbZFRkMn4Pps/BrH51bTfKnTXISPy63f+ee6Yd8mxDyAQpYPWivWgNL5+NrxqL0hs3k
+yAnJPe3MJTS+x6P2zmbFnk8XS4dAitV4SwqfAaQiSs94eVlEwB3e/1K+IIbu89YCM94wXJiXupNh
+uqymphTCqeU2cjjfAM5IBLWBeAF9NH8T3d34WfQGqs+69d7c5OgGfFaFiwRSEuUwXOiuhUzEBAtp
+McwEKOF75zRTXaqVdLtXXiCkNWVNmHzw8CqfDeIiJbmSLgQQ1XsIFs4sMBbJe69RVNmX0sHTS9p5
+3OTfAQBsZRSxhowlJ6rRumXdnx5eFpO6P/ztEzw9W9NzwkyTrJgOV/ZXxAn/u5//eHApCKSKvV/p
+j68P8q5a+f1KmLvBj6/tWEoVE0F/o5jA63ivlLucwYo9iCggXgVO6ikNeRoQU2/O+IoI/9K3NM+d
+k7w4nXMglCm6V+3U9V27/Ly8mrHFQjK3/CVtv8YqOl81XxPL9zX0mU9NnitjO3dfv/vdUswpQjI8
+OiRp+Q/qqDMGV3wUzspYirPZkuTrBlOn07mu1svXZgouSEUBgjhoFNcfxMtsTdS91G4qTz/pj5Mo
+eKLr8KPWwDqfBP1cPSg8ExcrU7KOFF30/PhBsAnARmh3FGW61M+j7etRCZ32TEn1vAMP43ftQVKG
+5Hk76S0WxIhaMZxvC9r8RWvZLgdQk/+KSYgV/GoPRNHJagg5PMRBj1p2r+pUpINoXKgx3fTdjXUk
+xe4OLVPqpbAR2FAHFdUEp8TDV7vFdnqKXwjkwlmuJ3qcUITibTMyeWTIP24UnMmJdBnHKkaH058H
+0b4VcfMXJDJDLEZlat5WUhoWMBmxEeZ+Jp7TBnkh1K6akbc/FJZ3+E1oWUV6jkNaUMZ2ElZHGA+z
+2j+9OHbepKgIJIhrFLFQW/ScUOSnczGXoCrHxpEVeM24loUK7nzc1vILNOZGm/JuZEUiNmzyov5W
+NG1E5+tRffnU5C7RGch49mkismFfIEXwZni51hNwAVEulkybvgfGEC3ff+yuNmJYAs5GX5bghnKy
+M3FIW4fRjzsbJbPTiX/S+0jKqD+7B9MPTeKf0L6h3RK/qq2EaEWLeVr/62ZdXjptJZvVv5Pfsf8H
+pwwLx4qSFhZWTyeUH7n3f58ikuQMKBJPflz4wswkBymk6arXWiMsdIpKyXDOw8pcH1QMvGKj+QiG
+m8tKdqVMF3528/DTDO9xbeIHncibCPmfyixHUWITw76qoVBft/ePYbleLSNKEOUEjxkCfCDZpqLt
+HsJwUuS7JNtqqUKNYrKEtFRYe8Xivc109RMKJl1LnBzb/teZOEe7k1wkPrUEtP/i5LyiK6tpWMPL
+hq+I+tMzAv2i2eKVHwMS25qUGj+cunvFElxsIvgFyaonYBZq9pgoV2McNNHpLXuNjGcuxIL/Dvet
+RVsf+Fb2NmAcge1rgW9La8sgZARiLe+vGAkmCPBATRSVP1G5AkuycfcjfzFdNhSOhWV3zwFyZvOr
+RfAQqKEFYS0D2cDtKwJBl9fYwj69oCWn7fZ1ot88Cv1iTffhULBwXDNIiT2nprSPR8stj4TwhQ3O
+hvBRt+Ty3logciXUpmSdtFmJ6OkMehJvbzepBwVARYvM74pKxYI5Mjof2IIokG1/jPY5DxSJ7cxm
+GiZ78dSDXrtQH2cLlpYnI4TwNNx1rUNGUv2KU2G5/JYkibDHbkXyGs6eZuevaHSMZaz6JUDS7ZyB
+mITYpyvFQV5QgIXe1QqzraNMJ3hVCMDOSzyr+8Ce+Ces5AejtOhuplQ0Ez05oUA7Cueu55tk3KQG
+k0dvdFVhdqJw4HYdAZS0uHpmqJHTfykKy2rijSyVdVyFpy7xgnRuf1bZdj8cyXJ787vmCUecqtmz
+sHXrlNfXZ1Mih+S56SFxrhNR05QUTE5XDdVoo6k4wHsgUp3gRYyNZGsAi6Tnrmj3fgySQKXjSHQc
+xBxQnbFg6jpfoXixwA7Al0d+5q0C4fl1mEA0re8hmgyc6rTOyOYRnCbjmJ155scOnd8uYhteMBNd
+Mw74agHFcqI/dEjtECMH1kJS4ALTZL5E4rlKHQGKKw8m3V7ax4St3E+feG1DEQntymkgislPjIMc
+WpG0EE6sRHKh4fNMpOLS9QxwL1Q+KLQJt8PRA1tHJJYNCAzyLeBBs2ObDiunoVb0673qa/+A9CNJ
+nMgbt6x8Bq6Zrc/0Z8bBvEEu8kV7w+04foYzQAWZXGhc+aTKIvuFeHV+aois18XwBeJSUNo2z96G
+kQbNxMzcZAUZD4LEhJmgvrn4RxrW46QX3iFhUiA2o0NjJ4hMl2sirZKwMs11tmBPCef+pEr+O9XZ
+o08ZNTJh4tFpTHZQK3dBf2LIC40WjxLCPZlJO3lh9F5nfwYobLFNJWNw7F8U5Q8dMW5ZyAmXnKkU
+y2BKPv88k1G7VoCd+IeE6b38xSwTU0UTvtuF/8Mhtl+3Ubf8dneXq5glhiD045wJMX64l5Jd41Ny
+3No25tnsR1mYTst9S1DCXWESh2BZDAl5t+mS3PihNBxwSyIGo4K44N9Vmee5S4KtXPYToEU2LXhH
+Px+zRKm3+NueILsUh+zQvP+2Z7rk38b3kUQXMl9Ons90tghVRhexK/cRIjERtkQZEluz/GwyGmbC
+ARtFNNC1Z+9cd8BoUiDN0gc+IJkMcSksfB/iXR4SN89wYLoyeJ/Izsa1fgtRZd1nONYOv9WKV4V1
+Fe7dHq2WBa4PjVDcGeNW+TOLMrWhuWP7JOnbhOJ+i03tE/0T+1e33k5HgS4Bqw3Ysm90M5yeGBQg
+5S03V9o8ibe7LtZ8urbiDlqNMiTmGeeimoV+qsxSxDMUFCkQlziWSt8W+spTBJAIc2qKVKIEpQrY
+6U1jKCRxpX94BimHr/AjJgqxE328ao8MrMg4dErPmpaYEvskkMaWMWUPnFedkxYA85nqzLl3NDeY
+PnxHZ7HaGzMrdY06Pd/O6oBaqeWZdTsVB6HNBE5ek4ASnTsiuh3YjWBxLTa1s/lXkKoEU2CmX7sj
+rBZNZXgzIqKnWI+PUnHg66zHQybjR5UHrYQTQFqIpwxAA3O2I4MsHeFvlAqbRacN1snKcMB8ssy/
+UPq7FM3M08k1Q/o6wP+j3OSwcy5/LHDvy86C4VHB+x+9FLdbiL68r6H6plYBN3G2GmOgCLIXVvt2
+wkv3RxkhkCY5s7+d6Gcpz3pQtC93rLGCspH5k4/u1eh936ciwoCO18xvJl2eaOBmHsrLHLPLFcp+
+T7kYkYMUmOBkGEEPdwoFk2p6j/2+Pb1T2che/LU+U117n1mHaEh2IQJpOqagObqO8z3a5o4PIjyn
+D8jsxXfbUoC4LWNl05k6Wz2z+SnAIkBhzHMS7ufKUFxQUmE9eMSbpM4SsJTDWGPTQCrmISepxrmo
+YlQ7vtLTvOm4BeqvBSOM+mPO84ZL46+E5xlq1U8HYlfH2tZ/UAa6hdyZ2EJbXjfUNCTSwZlZxaCV
+f8dr6hbMGeoiprVRis0SgYPGi4kG81TnIWr1wGXLQqVIMH2nr4TMqhyOgMwYrsw1y1DOm//aGpj9
+M0WsnU7FmTbLcYsLkSYoNlDisehgAIBgeA56buKtkmvcQ+Oq42XRgRPNj2CRewvL7TOpo2F6KsRO
+7jWHj1uvgTaOJjT7JjfqB0akbqF3ry2sdHFPVZfaZU5Z5hosrDGxETyFCsG9ru8BvQ/z1ugieQC7
+2IJZpwzqO9ebslXEMq5AGCBLYFsGtwDcOCvMwVQAr2SfWTBEZxjJFOR8t3jy6uF5G2f4sNCnWeRU
+zASz5Y2Yr9APFm13Us3ZefWPhv8d/3Mur1wJX/9FmefBztaDZ6wr73NrbLdc3A25CkcTiYKR4+X3
+mCDI51D+H8rcBu9pV450Ml2IVWYNSn/+XIxq9ucmFkMYIgG9wyXquEDxCcZtrDBadr0uuZ4aal83
+DgvEE80/g2LbjYALhxlC3H+2Yc9E2BAtgcWhCTI/F2V66sFlFXCwmdfGrTuMGWfwGIefztZrjFj0
+KnmSJOqtYyUX2eNyL7U0eLC0dug1C+JFMuwTX28SkYuq4kAfYfMW0aL70Qy9wKJdbB7DxQ1xFQ98
+msezGubFyOFYFqAb9J1z27sPftC3IKMCSiJ3gmTl7uLRopjI2tMuQ1mjUrfsiuGcgJSqiZ/X8IHj
+633YziDOwqVgkZ4P5b/UxVHdOv/aqdnvpD1MbpNI0zWRzOH6R4aNmaBJAz6kCpJo2leD0pVxYbln
+yFU3EQ+tB5fs3F/gqYrpIWcn1yNxlTHQY3cwSeo6K1RgsGuiWDVyAd+t9vergL83Yp26sYJlLuKp
+Bsle7q3uoS1o2Rsk0zItwjDOu4141LqB/aRvyJqL5Ipg++PSpdXTZaAtSBOi+3llDHHVEq1aC5Am
+ZwoH1RvoI9Hehp5vxwr5V/vuEHXOeWJSRddQvTmHXxtblKIfawxzRFhMkRAEN4kL7knZtgpvEmJA
+SCWfFdXa3Dotkwc6SAKMjKeiRkPaL2O8Q8422Npmab42UvaOFKNDMlTC/9VfDV7DSg29S35GHmy0
+hXR/5yxM4ap1bmwgT0b6y18rHeom3Kmg9oKMOaFOQRf6iBlz7kGKzG80Hj1TuR6vqw7HpyljEtOp
+eBBHZ8BaRSDUGO6VDxj1OD5mnDMg1Nm2NeHZobrAWcU8O5E9WaRQaBIGCutt/unLdfQSbDpgULKv
+OH6QedgoH4DMusNSUhkQud1ih4PjyFqpYrJX8LE9TFx/pw9siHCaPhKiJIp7zZzlSoXMNaBuJhtc
+jsZJrRZ/fpjGsYnCFYclkIpR22RUkEmp+Ux/8cOj8wszy7ccaUfSWqTllpSxupHpyqBDRk8v0kSK
+b4EVkBZBeX00wxtErQzACn2hT13/lWUp2g7k5Z9YiwPOTN/+D4RVk/odPdDptsSOPuwk6aHZRrXB
+gjFXxwNrutkqsFGnFg9gDgBjKQTZXiPG/LLl7dKl/JZJaVWMVTyFyv4Fghq68UHD9csVH7rQ08g8
+rpcrAbggoGGXoYCrKQ39WHxppA06LUicOiKGvhy8f2Yc0LO6iW1O1VsZs+B2zNa/5m6yh0SoycGQ
+fJlJOXXPdTlUQO7BkJpH3zL/Cvdc/FEWoL4M8ShMfE8kMV61KSUtnAkc7nc2JJ2Qb4lpqRK4Ztei
+7BarYVrH/7Bay4jCz+stQbhG1/Kw/MP/UDsT6kMNnJhYSNhpquys6wsFPW1NOTr0DDt9UEI6sgf7
+Nl1T89TO8LbDrnYOiykUSCAP0bEX4A3DOUZP2bVU98yaGVBTy5UwDdRRJ4swsNQDtln00tM4zGLa
+LseRSnappGRfq8AKw2yZJLlwstYKAzmX748uG+ILa56QHUVifyw5ZlWmccf/DqOy4vyqfcF8Ycpv
+yp47t5pat2dsAJZ3hdrZQjeXMgikqQ1YKcIWXneFsnwlxKohTi8pKgpJ3WTfdflWOBsW5LWxk5Us
+hk5VNOHNLbJXDlvHd2sWTQu3+p1Utr2xo6cZ/NtTRNCDIMBpmIyIb+cM4/tgr+Yz37VhcDqshHRX
+Z0P1unVKgMQFfdoHiqk5B+oiJjRLjODCplxy2OulVNJ+Z0T+geYIZiQsV+9nN9exNHwOOxPkcMY8
+8ebnwye5P2aupvM+hjNt84NtrPRP+GxebnAYVgxZmihTGwG8QCRCXsVjMwcahB7ONfLl6QFymWwW
+TbQM2ES6WuaUQSqCAzct1WU5SCn1FENjNyC+Lv6C5GKf8ACHhoFT0Z67c8MNyUp3hLveZvMZ2ICY
+BpkOjpRGlOkptKHjl03e9CoI5X9h/eQZQMmIPEO5BeBlvpivGzzw/I5iEDN1SoaoN+LHBXrvop3Z
+NQH5gnwMgRx0hhj9auFILJ1FY2ExtDX2A33McLWd6QZ7cmI2l46biLYNiPSgfxgCW7J/CaB9o+7+
+AYA/XLf4ZMYfiB2vYCCPEhxHxzeSwuXXXgo9h/2DPeaQi7Ywe0e0vaHEKHTOB8eeKgixRQfDOMiZ
+tN6IYsak4bsB4E3FlXa8gu+TvakeXXXNzQpPQeSA0P1kaovtrOMCLwD/OWGHZqBb9FhIpSwh3v4o
+vIyZCHlt8M0be0wNxxTmzgHnjgdfuOAQBGZF8jP4PsC1A2RCJ10OZoamBg0viVYBoRPJ3aU56RuH
+KgsAfWOfdbyUbWgZYNfi/fotxf2Gw3oR6pIXhF5gohPeetGQsK6Fkoy/3neAEXJAKHF7QR2kbWSC
+l8JSPLHUvACWq/DltLXge9gRLK7nOElx3k9QvK/8gDfFDg2vYv5lPV0Gyo5+yAjB7rw6yHtcytyq
+Ls8b72cu41Cd1kmQ1634uz0Jx5TwI9/qXmEbCxwwDlWaB0dtm0bTb4nhqUdmCYDEVfqyVwrwMRUc
+n5mUg9r8weSnHkFTKNXVV3FSjAwAu3QZtfHrw2WZXVpMXcJ1GdC394amqnoTPRnPPSvq1/YsLgC/
+s/3dJ/Z5aAno1oaZK8qCS0ZYHqc+eLEMh5Tkd+rzZ/Lp6QLe9h0kR6pOCdVlUI2a0tzq3K3Fvta2
+rG0S7bNDLtkZkwYisyq83acdEywojongENFSK8Hd7xIa/g0CeinRXh28mseDUWbC/MLHi9svWsnL
+Bvn9FzC5hiDqzbzcgl+AbZb3pQ50laYtAUgBJMMj5gB6U+reJyXSMl98nq8OTeHpIRwoBLpQmcSK
+1gzAskZ+aGrJleLGvudwNOLukIvQG2rjUwo6vyIVKRJM3wAyHU1f75YMRWdGH9afZ8c8o/+WaCgZ
+Nr2Xoy7SE8tfllN5lMk9qpG3RR9kKoTIo98w8ZNFxQbzoKJTw4Rk64suVL+99148wNBctQ/VYM4r
+fzXE+MdnvgJZF8bbnCb5zoqL7VWr0XeCuE0WS0kUjQQCu8eDK9me+xYrWDvhvU9Qk7nus/qW5WKb
+SylCIwr68tD/bxrwkJUoyUMDA0hv2xyjyHCdT8nHu7ZKmgEa8T4UEh4/AuTyLc+7sEI+frVoimIZ
+oqH2qWf+Jw+6aJCTxtNMsCerCsxlLVjGI41xZd52bH6sztzUwpZpazp5Jqy9GB6kUtEyiRnyhF8U
+551Xrik2YxwqcXaL1vf+j4MMmZCoVds9GUxU5i8GeK0X8rbU7kjUY5mGQgggrCe7uCRbEACQMhwC
+peUBd80WWiV9kKGDD365QUoIEBECX2zyy1jl2Sz/FxjzjowRj4ieK0HyCyIIecZAXVI8pLIn8jBX
+2r6FV5D+wRmXzvoD6RftjPrEBl4C92TO/1Znce2yEtrW63nRwdVHPQJ2ioXQ8rUlgsEI1OAyKml7
+1/1HcdqskvYix9jQIVikLf4+8M4JHkjIUXKDFUxBte4PM4/dvRQj6Zu410csbJzNCmUzxXcmoI7X
+oS8MBKsrZ3N1GiZm7OsM8KjHKIfpP7a+LrgkfgfmXxYB5ZN5dy+6AyMzS/90ZDx+VCwadEeps11W
++hbSSajx0q4P/JRxMNxnhHE6irPvHx74kOXuL9FBKIoEmzc9moWftWF+bipzSpuQMM1ox31Dzybx
+PTPPwGzr50g4RJdFjdexlUNEmdBykTNVo2dO9qdy23JxAP4zjonx/fQR05Gwt7Ydsk8iI0F9vOYg
+7vU2cfJjJTYuUn6kq2zj5TPuJHihNn136tVPge5wddXriOtXsFepGh2G3uUAKawme/LWXRNPg/SW
+JgzHvdp2LKQ0FTEstKKRwvCwOO0p8T7zEIOCBmvzXhql6RyGtD1mCl+b0BvuhBdXHDlRFhBvf1Pv
+VumXYxh+g7YCbyPeN+G/qUWTgIrfL6g7mEH/nVWcdzOVAfg7GYdYKCw75SmsiEES55RlkKr573bb
+twR4r8AkyewqKncAYP29bnriDFti/6ZHebwZ+29JLRVO5I+reL4YHvpIcVz8CvxseFhqhBSqr3H3
+akiuOwsFyrF9zFKk7azaq5Lqkz/vVDFXsExoz1JMa2eNx3Ra9TZgqc4azZ37YzSIgbix4R+ftaca
+pZJb+IU75dKGBoiY8dcx/XzgPKvPPxI0LorRw5TVB7ssmDqaBWK12CLnCEtQm/gOj/gWOKXK/cQI
+8IAmULlsnJScOZC138Z4BcB8O7PPAMO1lFBzOVTgsxJU4QhvrGGvMiMohF7icOdu0hrPNCHZ45dH
+8fqQs7bMpX1pq1lI8VUlg2j1iG5PGbbi1XH1dgSjw8bWNiD1Q8/lG27RWK86Z2kcu3AAUKljMX5I
+nSg6Rnyo6D9kzzt5VLgIqMv9LVt08wHRNBraIhXEKiDpQjCVBsRrXFnPoKnyRvro3lB0bF9e0s/1
+JngHezVjLnMP8dJxRPALqtN2ESLRRqAaQZJSd5WqRW7nlrf2FjWMgcjhcMAWTVJeVnfJa6WhJI2B
+lUx1NkG/0+P+nLjg86BbV9iVRPUgtPbB7BOfQiG4MmzQCK7Tv0evLSvNMwpqECKMTBUw9taTXbdB
+0F5Gt3hi3STbF2Qkg7MkMBwprRjXC/gd6Bp/NB1airPegO44VhOSBPPVrbYZOZHEWVtY6OUJIOEk
+ClOw0f68n92L7zQNoY8e+qzNCO32kia3bL/NpeAUX0SXhKacqtva1/Qlo589zc2McPd7PUuNeiEQ
+qd2+r8r/7+XG3FnRiN/nfzIBU56ysCxZ3u1nLYC2WwxDDyIV/d9JM4RTyJ/LaLNjq9MQmE5wm82V
+7iwLWRIoCAG0Z40/cm58iD5AfuWZQVsKzmV8kuQbtpgX3ZpoK/DLJnRueLaNkt2+Fq3tPC0nnO+P
+inbiYdotCyb8BJ1cI3XmjtqGOamos8nHXfc4kUkiVCrLnHapuYXJi59xUFO1rOFlaF7bhvPJ72xs
+cVenP7+H/k87rSXvoPWVNMkGDnW9pXhVh8mMOynB7WiLeQpGdeedTHJ1e+tEC0zx8sgGoENTwcN9
+rVpCCItm5ZwOroIldIBVGgDxYcgBVwKHgyuG+5Ck4arrpx1jFqNKKV/6LbAZaiyB6cbvYWhV5S89
+0Y1sYFTL5EsKGKlVTeFY7UoV4uRoq6PK89yMk86DGJW4Rzf5bRuozbKiuC0euoDIGVYFMP0dB78H
+xAGxV/J9TKWbyZHiHTeuMr9lgyPLrSAw7sxXbpWQFz2k0jGkELIIvvpscY7ERIV8jKtVIGe4XlQk
+T7kQAzrcEjRBLGJ4tlyS8buVjw/5M3CXsCuS1kVYOUX9QSuT18eWr6q5dVRULyr5zGDg5xkQgHLQ
+RYxAVSeFJBaPdwxsQW/NSXpDVWruuGC9HRFvXj7EWu9B/V0YghbanvLsC9qLEr1lvvaslicDkViw
+NqK1lW4e+4g7m1Tn6LQUVNoYVEQHkUhkBKy7WHVXiw5s15N0lFw18qTpBy8fUoRxD/1ViZfxEXJK
+q/h1FzdO/aTB/Qv1Vl8a8yAfIuORE98rQlIR7evivMIIV3Bu8sim5r5I2jaB8AlmS57Uuu7AxxdT
+umtvlC41YB5fLDFufCzX7rld8eMhVQW1FgBuPG3qEY3kIpcv4mzENpe5KRbvd+LeWap+5QbRkXvJ
+Td6Jki7XCI0uuuNMHxV72fciXvgUEaHePgO7+j6DA8SaDOgF3ZmmVrYzOpZFVKUg29KXkGqduaN3
+kV49jBkhDRESrp1Sflqyy1pfXx5/VNQhjqOQWlgMIoJiKqD9Ju8vgR2IAtyA2oSClwce59FSkxPC
+ej+ycwxR9MH12Ut/7/1z4fOSfSEf/LzdRxD5nfI0ml6w91g/HoO7T/He5L5nx59bn6+ktZe0JCJ2
+q62VS3wb8zseiGpk81oVwrxmgp326GRpsRo+KzsezpM171IdD+2u/mE/cKDyN9tYLC7kvZFJnSLX
+ZpFikBsEFr1Vxz+fiEGLyXKaQt13jGO3fKet65SgB18LJCNmaYL0DS0k4qLGOhvctFbwINBS2SNZ
+tWK+ct3wvvjlD/Yd1hTNeUXfsYAeNEACxUJhNkKGQwsTo+hqJ3nGyQ1fVA9jWq/0jfIabLiZMgip
+44+8YrfwcsaZ6AJcnFKUI701su61zWMLz5GyXGRwF4V3uzrx/FKctzEpCEgBKyKmHIdDoHarN4oF
+kutaIo27yANELG2zieBerv4WlLukVHcHbDNBVWbWEX7O2SjsjLbwulDDXl/ES/wMd74NkDpnCFhU
+LUXNBVt4Tjk02Tqg4IFTXpmrCb4BWiUtB77hCFFMn8g11d5Napu4TnTBwv8b0tfRiOmEO8OyZL0L
+VGKfiuD5/jMh2Mb4ooHf0Tj6onsyD8eV/+cdVJ/N1xB6aJRD4JYyHMU72gHVOk6TZgNrDa9d7/uc
+i54oGCKqs3+XRJj3XvjeJ4ZlzbW7Q7aB/Zntqbyi4dfwU/+2/zdM9Y5V+DGq90bpczC+sylhglRo
+dWqAb+tLRPOTKS2StLNN6WNFo92kMwklKUczPyN5NeYt9zxljWojP6MY6D2CJeJZa3Juu5hNrkjz
+9kz0kip+2PXZ3IV0hQXj/uFfd03AWqT+wPrNU6yQzaiwiEDXZHmCgdnSWqA/84+k7IlmBhlGsluS
+f14y6dcv9EB+zdi6GW/gHy48naqdF9GeTMaoqd3nz4bUP3gsRayTMAT3Avz2BKvGSFyCmb46Dwo5
+R0lHpCKm/wxfd/Xz9G3LbidDUkxo6cJYxl9PQBbkJp93SVHrBGXGD4BcMnPvzjqPb/Emj2StL+lV
+Q4Jhea0fnUmIdq1/z7sqMcAIq4tbt/r85hNxLHqyI0lZESW/0J6TtDdQ2f1LEOhxEvbZC6sYBkJa
+xyzS8Z/nEC30JiX+O97yAtQpZjkXEw09RXsWouHkaT9TI0BMhJrhbGa2PHkU7omFRUHVSlDuVUqU
+J3c9te/nOdrc+K298gplycTrnjb0oXFy3PcfwhQAfs3UvEDZ6NWCAzQ3Sjtt5KQx6vpqgPtNMahz
+qFzk64Q8x+OnwlAq81n1HbzkYV0mIvMDwjoj7gM7LEEUnWko4Whr5Luomr8Bz0gCu6fvhAvhSRrC
+ddzy9BCT7G3wcIu2Rp6B59ZOprCmqCmEdHMsgmNFhh2Z559RT8ysUQ8oW3CHa41bgeKV6kdg2QU6
+gCrquvAOJXVMs/TX5HkmVCRQ57G3ymw13AncQGbU6KNGZ8tg6/Q5gUFQv3VwsxhnRBwGNNxbmRdp
+WHFxfUYRncrJTLXa6VYWsWzYqr4szH6r03j3soF2/yKe1ZqRBp2T88E9Hn9qB6QWIX68sCLEhiKp
+BJg0IhNclWCwSErypUfUC5kLZFs4YLdnsmUDJDIQBb4dcozP6G8v7pftS1d+694qvS59SDqlz81t
+rzdhY12tSerJoftNq+I1+189aH6ebc/36SMpah9NtK/E7JPVCEi+l0WBrc7BLnZJtmuVP+CNGX6B
+RHBMeP68N8cYVy9xmdox8UBefzhwaCyuiNu5KQuDD0zakeBhO6VLe5cMRC+MjKFqTAwT1OtZpDub
+1M5yWlulb19fvStORWE7h1g6+pucnpiy9B4/+pEnnswrOqlYonxpARnlJTDQY0JUNXDGHAs/Gvlu
+VHJQFnJaazDF1B0L95Hib8yT8VWNeZZyNwtiil7dq10aaSO9UNSTE5apctgoJlN4SujNnZoV4YxF
+9zNlOyh4maQ3GxGphJIpu/xqZJ+gpvqv0sMitObXFO+fYOvQk7HtuOhv8Hvb50zfTZFgzHfQqECu
+019uEaB5QQzCubXbG86AIq5npRrhKjKyzd6kwKbEaHJYhH2lzbokC/eFt/buslHd/PwTJQElMAiM
+zqtggvFpTAA3z1CRJsHxzS+Vc6XpPQyMTOlqMg0+Z3zzOtBzj4CGNYRa4IRnp0B92kuDKMRZvDD5
+JY1wAM15Pdcs8BCwIIZAbqanmxxOGBKmQuENCfljbGam2bX816tnTg5XBg==
 `pragma protect end_protected
 module FifoTfe2Bytes (
   Data,

+ 263 - 200
src/src/WrapFifoChain/FifoTfe2Bytes/FifoTfe2Bytes.vo

@@ -2,7 +2,7 @@
 //All rights reserved.
 //File Title: Post-PnR Simulation Model file
 //Tool Version: V1.9.9.03 (64-bit)
-//Created Time: Fri Nov 22 18:48:03 2024
+//Created Time: Fri Dec 27 14:43:53 2024
 
 `timescale 100 ps/100 ps
 module FifoTfe2Bytes(
@@ -36,50 +36,50 @@ wire Reset;
 wire VCC;
 wire WrClk;
 wire WrEn;
-wire \fifo_inst/n23_5 ;
-wire \fifo_inst/n29_4 ;
+wire \fifo_inst/n24_5 ;
+wire \fifo_inst/n30_4 ;
 wire \fifo_inst/wfull_val ;
-wire \fifo_inst/n371_4 ;
 wire \fifo_inst/wfull_val1 ;
 wire \fifo_inst/wfull_val1_0 ;
 wire \fifo_inst/Full_1 ;
 wire \fifo_inst/Equal.wbinnext_0_7 ;
-wire \fifo_inst/Equal.rgraynext_1_4 ;
+wire \fifo_inst/Equal.rgraynext_2_4 ;
+wire \fifo_inst/Equal.rgraynext_3_4 ;
 wire \fifo_inst/Equal.wgraynext_1_4 ;
-wire \fifo_inst/Equal.wgraynext_2_4 ;
-wire \fifo_inst/Equal.wgraynext_3_4 ;
+wire \fifo_inst/Equal.wgraynext_1_5 ;
 wire \fifo_inst/wfull_val_4 ;
 wire \fifo_inst/wfull_val_5 ;
-wire \fifo_inst/n371_5 ;
-wire \fifo_inst/wfull_val1_16 ;
-wire \fifo_inst/Full_11 ;
+wire \fifo_inst/wfull_val_6 ;
+wire \fifo_inst/n409_6 ;
 wire \fifo_inst/rempty_val ;
 wire \fifo_inst/wfull_val1_2 ;
 wire \fifo_inst/wfull_val1_3 ;
 wire \fifo_inst/Full_1_2 ;
 wire \fifo_inst/Full_2 ;
-wire \fifo_inst/n130_1_SUM ;
-wire \fifo_inst/n130_3 ;
-wire \fifo_inst/n131_1_SUM ;
-wire \fifo_inst/n131_3 ;
 wire \fifo_inst/n132_1_SUM ;
 wire \fifo_inst/n132_3 ;
 wire \fifo_inst/n133_1_SUM ;
 wire \fifo_inst/n133_3 ;
+wire \fifo_inst/n134_1_SUM ;
+wire \fifo_inst/n134_3 ;
+wire \fifo_inst/n135_1_SUM ;
+wire \fifo_inst/n135_3 ;
+wire \fifo_inst/n136_1_SUM ;
+wire \fifo_inst/n136_3 ;
 wire \fifo_inst/n4_6 ;
 wire \fifo_inst/n9_6 ;
-wire [3:0] \fifo_inst/Equal.rgraynext ;
-wire [3:0] \fifo_inst/Equal.wgraynext ;
-wire [4:0] \fifo_inst/rbin_num_next ;
-wire [4:1] \fifo_inst/Equal.wbinnext ;
+wire [4:0] \fifo_inst/Equal.rgraynext ;
+wire [4:0] \fifo_inst/Equal.wgraynext ;
+wire [5:0] \fifo_inst/rbin_num_next ;
+wire [5:1] \fifo_inst/Equal.wbinnext ;
 wire [1:0] \fifo_inst/reset_r ;
 wire [1:0] \fifo_inst/reset_w ;
-wire [4:0] \fifo_inst/rbin_num ;
-wire [4:0] \fifo_inst/Equal.rq1_wptr ;
-wire [4:0] \fifo_inst/Equal.rq2_wptr ;
-wire [3:0] \fifo_inst/rptr ;
-wire [4:0] \fifo_inst/wptr ;
-wire [3:0] \fifo_inst/Equal.wbin ;
+wire [5:0] \fifo_inst/rbin_num ;
+wire [5:0] \fifo_inst/Equal.rq1_wptr ;
+wire [5:0] \fifo_inst/Equal.rq2_wptr ;
+wire [4:0] \fifo_inst/rptr ;
+wire [5:0] \fifo_inst/wptr ;
+wire [4:0] \fifo_inst/Equal.wbin ;
 wire [31:24] \fifo_inst/DO ;
 VCC VCC_cZ (
   .V(VCC)
@@ -90,89 +90,78 @@ GND GND_cZ (
 GSR GSR (
 	.GSRI(VCC)
 );
-LUT4 \fifo_inst/n23_s1  (
+LUT4 \fifo_inst/n24_s1  (
 	.I0(\fifo_inst/Full_2 ),
 	.I1(\fifo_inst/Full_1_2 ),
 	.I2(\fifo_inst/Full_1 ),
 	.I3(WrEn),
-	.F(\fifo_inst/n23_5 )
+	.F(\fifo_inst/n24_5 )
 );
-defparam \fifo_inst/n23_s1 .INIT=16'h5300;
-LUT3 \fifo_inst/n29_s1  (
+defparam \fifo_inst/n24_s1 .INIT=16'h5300;
+LUT3 \fifo_inst/n30_s1  (
 	.I0(RdEn),
 	.I1(Empty),
 	.I2(\fifo_inst/rempty_val ),
-	.F(\fifo_inst/n29_4 )
+	.F(\fifo_inst/n30_4 )
 );
-defparam \fifo_inst/n29_s1 .INIT=8'h0E;
-LUT3 \fifo_inst/Equal.rgraynext_1_s0  (
-	.I0(\fifo_inst/Equal.rgraynext_1_4 ),
-	.I1(\fifo_inst/rbin_num_next [1]),
-	.I2(\fifo_inst/rbin_num [2]),
-	.F(\fifo_inst/Equal.rgraynext [1])
-);
-defparam \fifo_inst/Equal.rgraynext_1_s0 .INIT=8'h1E;
+defparam \fifo_inst/n30_s1 .INIT=8'h0E;
 LUT3 \fifo_inst/Equal.rgraynext_2_s0  (
-	.I0(\fifo_inst/Equal.rgraynext_1_4 ),
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
 	.I1(\fifo_inst/rbin_num [2]),
 	.I2(\fifo_inst/rbin_num [3]),
 	.F(\fifo_inst/Equal.rgraynext [2])
 );
 defparam \fifo_inst/Equal.rgraynext_2_s0 .INIT=8'h1E;
-LUT4 \fifo_inst/Equal.rgraynext_3_s0  (
-	.I0(\fifo_inst/Equal.rgraynext_1_4 ),
-	.I1(\fifo_inst/rbin_num [2]),
-	.I2(\fifo_inst/rbin_num [3]),
-	.I3(\fifo_inst/rbin_num [4]),
-	.F(\fifo_inst/Equal.rgraynext [3])
+LUT4 \fifo_inst/Equal.rgraynext_4_s0  (
+	.I0(\fifo_inst/Equal.rgraynext_3_4 ),
+	.I1(\fifo_inst/rbin_num [3]),
+	.I2(\fifo_inst/rbin_num [4]),
+	.I3(\fifo_inst/rbin_num [5]),
+	.F(\fifo_inst/Equal.rgraynext [4])
 );
-defparam \fifo_inst/Equal.rgraynext_3_s0 .INIT=16'h07F8;
+defparam \fifo_inst/Equal.rgraynext_4_s0 .INIT=16'h07F8;
 LUT3 \fifo_inst/Equal.wgraynext_0_s0  (
-	.I0(\fifo_inst/n23_5 ),
+	.I0(\fifo_inst/n24_5 ),
 	.I1(\fifo_inst/Equal.wbin [0]),
 	.I2(\fifo_inst/Equal.wbin [1]),
 	.F(\fifo_inst/Equal.wgraynext [0])
 );
 defparam \fifo_inst/Equal.wgraynext_0_s0 .INIT=8'h1E;
-LUT4 \fifo_inst/Equal.wgraynext_1_s0  (
-	.I0(Full),
-	.I1(\fifo_inst/Equal.wgraynext_1_4 ),
-	.I2(\fifo_inst/Equal.wbin [1]),
-	.I3(\fifo_inst/Equal.wbin [2]),
+LUT3 \fifo_inst/Equal.wgraynext_1_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wgraynext_1_5 ),
+	.I2(\fifo_inst/Equal.wbinnext [1]),
 	.F(\fifo_inst/Equal.wgraynext [1])
 );
-defparam \fifo_inst/Equal.wgraynext_1_s0 .INIT=16'h0BF4;
-LUT4 \fifo_inst/Equal.wgraynext_2_s0  (
-	.I0(Full),
-	.I1(\fifo_inst/Equal.wgraynext_2_4 ),
-	.I2(\fifo_inst/Equal.wbin [2]),
-	.I3(\fifo_inst/Equal.wbin [3]),
+defparam \fifo_inst/Equal.wgraynext_1_s0 .INIT=8'hE1;
+LUT2 \fifo_inst/Equal.wgraynext_2_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_5 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
 	.F(\fifo_inst/Equal.wgraynext [2])
 );
-defparam \fifo_inst/Equal.wgraynext_2_s0 .INIT=16'h0BF4;
-LUT4 \fifo_inst/Equal.wgraynext_3_s0  (
-	.I0(Full),
-	.I1(\fifo_inst/Equal.wgraynext_3_4 ),
-	.I2(\fifo_inst/Equal.wbin [3]),
-	.I3(\fifo_inst/wptr [4]),
+defparam \fifo_inst/Equal.wgraynext_2_s0 .INIT=4'h9;
+LUT3 \fifo_inst/Equal.wgraynext_3_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
 	.F(\fifo_inst/Equal.wgraynext [3])
 );
-defparam \fifo_inst/Equal.wgraynext_3_s0 .INIT=16'h0BF4;
-LUT4 \fifo_inst/wfull_val_s0  (
-	.I0(\fifo_inst/wptr [4]),
-	.I1(\fifo_inst/rbin_num [4]),
-	.I2(\fifo_inst/wfull_val_4 ),
-	.I3(\fifo_inst/wfull_val_5 ),
-	.F(\fifo_inst/wfull_val )
+defparam \fifo_inst/Equal.wgraynext_3_s0 .INIT=8'h1E;
+LUT4 \fifo_inst/Equal.wgraynext_4_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.I3(\fifo_inst/wptr [5]),
+	.F(\fifo_inst/Equal.wgraynext [4])
 );
-defparam \fifo_inst/wfull_val_s0 .INIT=16'h6000;
-LUT3 \fifo_inst/n371_s1  (
+defparam \fifo_inst/Equal.wgraynext_4_s0 .INIT=16'h07F8;
+LUT3 \fifo_inst/wfull_val_s0  (
 	.I0(\fifo_inst/wfull_val_4 ),
 	.I1(\fifo_inst/wfull_val_5 ),
-	.I2(\fifo_inst/n371_5 ),
-	.F(\fifo_inst/n371_4 )
+	.I2(\fifo_inst/wfull_val_6 ),
+	.F(\fifo_inst/wfull_val )
 );
-defparam \fifo_inst/n371_s1 .INIT=8'h80;
+defparam \fifo_inst/wfull_val_s0 .INIT=8'h80;
 LUT3 \fifo_inst/wfull_val1_s9  (
 	.I0(\fifo_inst/wfull_val1_3 ),
 	.I1(\fifo_inst/wfull_val1_2 ),
@@ -180,14 +169,13 @@ LUT3 \fifo_inst/wfull_val1_s9  (
 	.F(\fifo_inst/wfull_val1 )
 );
 defparam \fifo_inst/wfull_val1_s9 .INIT=8'hAC;
-LUT4 \fifo_inst/wfull_val1_s10  (
-	.I0(\fifo_inst/wfull_val_5 ),
-	.I1(\fifo_inst/n371_5 ),
-	.I2(\fifo_inst/wfull_val_4 ),
-	.I3(\fifo_inst/wfull_val1_16 ),
+LUT3 \fifo_inst/wfull_val1_s10  (
+	.I0(\fifo_inst/wfull_val1_0 ),
+	.I1(\fifo_inst/wfull_val ),
+	.I2(\fifo_inst/reset_w [1]),
 	.F(\fifo_inst/wfull_val1_0 )
 );
-defparam \fifo_inst/wfull_val1_s10 .INIT=16'hFF80;
+defparam \fifo_inst/wfull_val1_s10 .INIT=8'h0E;
 LUT3 \fifo_inst/Full_d_s  (
 	.I0(\fifo_inst/Full_2 ),
 	.I1(\fifo_inst/Full_1_2 ),
@@ -195,14 +183,13 @@ LUT3 \fifo_inst/Full_d_s  (
 	.F(Full)
 );
 defparam \fifo_inst/Full_d_s .INIT=8'hAC;
-LUT4 \fifo_inst/Full_s8  (
-	.I0(\fifo_inst/wfull_val_5 ),
-	.I1(\fifo_inst/n371_5 ),
-	.I2(\fifo_inst/wfull_val_4 ),
-	.I3(\fifo_inst/Full_11 ),
+LUT3 \fifo_inst/Full_s8  (
+	.I0(\fifo_inst/Full_1 ),
+	.I1(\fifo_inst/wfull_val ),
+	.I2(\fifo_inst/reset_w [1]),
 	.F(\fifo_inst/Full_1 )
 );
-defparam \fifo_inst/Full_s8 .INIT=16'hFF80;
+defparam \fifo_inst/Full_s8 .INIT=8'h0E;
 LUT3 \fifo_inst/rbin_num_next_0_s5  (
 	.I0(Empty),
 	.I1(RdEn),
@@ -219,126 +206,113 @@ LUT4 \fifo_inst/rbin_num_next_1_s5  (
 );
 defparam \fifo_inst/rbin_num_next_1_s5 .INIT=16'hBF40;
 LUT2 \fifo_inst/rbin_num_next_2_s5  (
-	.I0(\fifo_inst/Equal.rgraynext_1_4 ),
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
 	.I1(\fifo_inst/rbin_num [2]),
 	.F(\fifo_inst/rbin_num_next [2])
 );
 defparam \fifo_inst/rbin_num_next_2_s5 .INIT=4'h6;
-LUT3 \fifo_inst/rbin_num_next_3_s5  (
-	.I0(\fifo_inst/Equal.rgraynext_1_4 ),
-	.I1(\fifo_inst/rbin_num [2]),
-	.I2(\fifo_inst/rbin_num [3]),
-	.F(\fifo_inst/rbin_num_next [3])
-);
-defparam \fifo_inst/rbin_num_next_3_s5 .INIT=8'h78;
-LUT4 \fifo_inst/rbin_num_next_4_s2  (
-	.I0(\fifo_inst/Equal.rgraynext_1_4 ),
-	.I1(\fifo_inst/rbin_num [2]),
-	.I2(\fifo_inst/rbin_num [3]),
-	.I3(\fifo_inst/rbin_num [4]),
-	.F(\fifo_inst/rbin_num_next [4])
+LUT4 \fifo_inst/rbin_num_next_5_s2  (
+	.I0(\fifo_inst/Equal.rgraynext_3_4 ),
+	.I1(\fifo_inst/rbin_num [3]),
+	.I2(\fifo_inst/rbin_num [4]),
+	.I3(\fifo_inst/rbin_num [5]),
+	.F(\fifo_inst/rbin_num_next [5])
 );
-defparam \fifo_inst/rbin_num_next_4_s2 .INIT=16'h7F80;
+defparam \fifo_inst/rbin_num_next_5_s2 .INIT=16'h7F80;
 LUT2 \fifo_inst/Equal.wbinnext_0_s3  (
-	.I0(\fifo_inst/n23_5 ),
+	.I0(\fifo_inst/n24_5 ),
 	.I1(\fifo_inst/Equal.wbin [0]),
 	.F(\fifo_inst/Equal.wbinnext_0_7 )
 );
 defparam \fifo_inst/Equal.wbinnext_0_s3 .INIT=4'h6;
-LUT3 \fifo_inst/Equal.wbinnext_2_s3  (
-	.I0(Full),
-	.I1(\fifo_inst/Equal.wgraynext_2_4 ),
-	.I2(\fifo_inst/Equal.wbin [2]),
+LUT3 \fifo_inst/Equal.wbinnext_1_s3  (
+	.I0(\fifo_inst/n24_5 ),
+	.I1(\fifo_inst/Equal.wbin [0]),
+	.I2(\fifo_inst/Equal.wbin [1]),
+	.F(\fifo_inst/Equal.wbinnext [1])
+);
+defparam \fifo_inst/Equal.wbinnext_1_s3 .INIT=8'h78;
+LUT2 \fifo_inst/Equal.wbinnext_2_s3  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wgraynext_1_5 ),
 	.F(\fifo_inst/Equal.wbinnext [2])
 );
-defparam \fifo_inst/Equal.wbinnext_2_s3 .INIT=8'hB4;
-LUT3 \fifo_inst/Equal.wbinnext_3_s3  (
-	.I0(Full),
-	.I1(\fifo_inst/Equal.wgraynext_3_4 ),
-	.I2(\fifo_inst/Equal.wbin [3]),
+defparam \fifo_inst/Equal.wbinnext_2_s3 .INIT=4'h1;
+LUT2 \fifo_inst/Equal.wbinnext_3_s3  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
 	.F(\fifo_inst/Equal.wbinnext [3])
 );
-defparam \fifo_inst/Equal.wbinnext_3_s3 .INIT=8'hB4;
-LUT4 \fifo_inst/Equal.wbinnext_4_s2  (
-	.I0(Full),
-	.I1(\fifo_inst/Equal.wgraynext_3_4 ),
-	.I2(\fifo_inst/Equal.wbin [3]),
-	.I3(\fifo_inst/wptr [4]),
+defparam \fifo_inst/Equal.wbinnext_3_s3 .INIT=4'h6;
+LUT3 \fifo_inst/Equal.wbinnext_4_s3  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
 	.F(\fifo_inst/Equal.wbinnext [4])
 );
-defparam \fifo_inst/Equal.wbinnext_4_s2 .INIT=16'hBF40;
-LUT4 \fifo_inst/Equal.rgraynext_1_s1  (
+defparam \fifo_inst/Equal.wbinnext_4_s3 .INIT=8'h78;
+LUT4 \fifo_inst/Equal.wbinnext_5_s2  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.I3(\fifo_inst/wptr [5]),
+	.F(\fifo_inst/Equal.wbinnext [5])
+);
+defparam \fifo_inst/Equal.wbinnext_5_s2 .INIT=16'h7F80;
+LUT4 \fifo_inst/Equal.rgraynext_2_s1  (
 	.I0(Empty),
 	.I1(RdEn),
 	.I2(\fifo_inst/rbin_num [0]),
 	.I3(\fifo_inst/rbin_num [1]),
-	.F(\fifo_inst/Equal.rgraynext_1_4 )
+	.F(\fifo_inst/Equal.rgraynext_2_4 )
 );
-defparam \fifo_inst/Equal.rgraynext_1_s1 .INIT=16'h4000;
-LUT2 \fifo_inst/Equal.wgraynext_1_s1  (
-	.I0(WrEn),
-	.I1(\fifo_inst/Equal.wbin [0]),
-	.F(\fifo_inst/Equal.wgraynext_1_4 )
+defparam \fifo_inst/Equal.rgraynext_2_s1 .INIT=16'h4000;
+LUT2 \fifo_inst/Equal.rgraynext_3_s1  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.F(\fifo_inst/Equal.rgraynext_3_4 )
 );
-defparam \fifo_inst/Equal.wgraynext_1_s1 .INIT=4'h8;
-LUT3 \fifo_inst/Equal.wgraynext_2_s1  (
-	.I0(WrEn),
+defparam \fifo_inst/Equal.rgraynext_3_s1 .INIT=4'h8;
+LUT4 \fifo_inst/Equal.wgraynext_1_s1  (
+	.I0(\fifo_inst/n24_5 ),
 	.I1(\fifo_inst/Equal.wbin [0]),
 	.I2(\fifo_inst/Equal.wbin [1]),
-	.F(\fifo_inst/Equal.wgraynext_2_4 )
+	.I3(\fifo_inst/Equal.wbin [2]),
+	.F(\fifo_inst/Equal.wgraynext_1_4 )
 );
-defparam \fifo_inst/Equal.wgraynext_2_s1 .INIT=8'h80;
-LUT4 \fifo_inst/Equal.wgraynext_3_s1  (
-	.I0(WrEn),
-	.I1(\fifo_inst/Equal.wbin [0]),
-	.I2(\fifo_inst/Equal.wbin [1]),
+defparam \fifo_inst/Equal.wgraynext_1_s1 .INIT=16'h8000;
+LUT4 \fifo_inst/Equal.wgraynext_1_s2  (
+	.I0(\fifo_inst/Equal.wbin [0]),
+	.I1(\fifo_inst/Equal.wbin [1]),
+	.I2(\fifo_inst/n24_5 ),
 	.I3(\fifo_inst/Equal.wbin [2]),
-	.F(\fifo_inst/Equal.wgraynext_3_4 )
+	.F(\fifo_inst/Equal.wgraynext_1_5 )
 );
-defparam \fifo_inst/Equal.wgraynext_3_s1 .INIT=16'h8000;
+defparam \fifo_inst/Equal.wgraynext_1_s2 .INIT=16'h007F;
 LUT4 \fifo_inst/wfull_val_s1  (
 	.I0(\fifo_inst/wptr [0]),
 	.I1(\fifo_inst/rptr [0]),
-	.I2(\fifo_inst/wptr [1]),
-	.I3(\fifo_inst/rptr [1]),
+	.I2(\fifo_inst/wptr [4]),
+	.I3(\fifo_inst/rptr [4]),
 	.F(\fifo_inst/wfull_val_4 )
 );
-defparam \fifo_inst/wfull_val_s1 .INIT=16'h9009;
+defparam \fifo_inst/wfull_val_s1 .INIT=16'h0990;
 LUT4 \fifo_inst/wfull_val_s2  (
-	.I0(\fifo_inst/wptr [2]),
-	.I1(\fifo_inst/rptr [2]),
-	.I2(\fifo_inst/wptr [3]),
-	.I3(\fifo_inst/rptr [3]),
+	.I0(\fifo_inst/wptr [3]),
+	.I1(\fifo_inst/rptr [3]),
+	.I2(\fifo_inst/wptr [5]),
+	.I3(\fifo_inst/rbin_num [5]),
 	.F(\fifo_inst/wfull_val_5 )
 );
 defparam \fifo_inst/wfull_val_s2 .INIT=16'h0990;
-LUT3 \fifo_inst/n371_s2  (
-	.I0(\fifo_inst/reset_w [1]),
-	.I1(\fifo_inst/wptr [4]),
-	.I2(\fifo_inst/rbin_num [4]),
-	.F(\fifo_inst/n371_5 )
-);
-defparam \fifo_inst/n371_s2 .INIT=8'h14;
-LUT2 \fifo_inst/wfull_val1_s11  (
-	.I0(\fifo_inst/reset_w [1]),
-	.I1(\fifo_inst/wfull_val1_0 ),
-	.F(\fifo_inst/wfull_val1_16 )
-);
-defparam \fifo_inst/wfull_val1_s11 .INIT=4'h4;
-LUT2 \fifo_inst/Full_s9  (
-	.I0(\fifo_inst/reset_w [1]),
-	.I1(\fifo_inst/Full_1 ),
-	.F(\fifo_inst/Full_11 )
-);
-defparam \fifo_inst/Full_s9 .INIT=4'h4;
-LUT4 \fifo_inst/Equal.wbinnext_1_s4  (
-	.I0(Full),
-	.I1(WrEn),
-	.I2(\fifo_inst/Equal.wbin [0]),
-	.I3(\fifo_inst/Equal.wbin [1]),
-	.F(\fifo_inst/Equal.wbinnext [1])
-);
-defparam \fifo_inst/Equal.wbinnext_1_s4 .INIT=16'hBF40;
+LUT4 \fifo_inst/wfull_val_s3  (
+	.I0(\fifo_inst/wptr [1]),
+	.I1(\fifo_inst/rptr [1]),
+	.I2(\fifo_inst/wptr [2]),
+	.I3(\fifo_inst/rptr [2]),
+	.F(\fifo_inst/wfull_val_6 )
+);
+defparam \fifo_inst/wfull_val_s3 .INIT=16'h9009;
 LUT4 \fifo_inst/Equal.rgraynext_0_s1  (
 	.I0(Empty),
 	.I1(RdEn),
@@ -347,10 +321,48 @@ LUT4 \fifo_inst/Equal.rgraynext_0_s1  (
 	.F(\fifo_inst/Equal.rgraynext [0])
 );
 defparam \fifo_inst/Equal.rgraynext_0_s1 .INIT=16'h4BB4;
+LUT3 \fifo_inst/Equal.rgraynext_1_s1  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num_next [1]),
+	.F(\fifo_inst/Equal.rgraynext [1])
+);
+defparam \fifo_inst/Equal.rgraynext_1_s1 .INIT=8'h96;
+LUT4 \fifo_inst/rbin_num_next_4_s6  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.I3(\fifo_inst/rbin_num [4]),
+	.F(\fifo_inst/rbin_num_next [4])
+);
+defparam \fifo_inst/rbin_num_next_4_s6 .INIT=16'h7F80;
+LUT3 \fifo_inst/rbin_num_next_3_s6  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.F(\fifo_inst/rbin_num_next [3])
+);
+defparam \fifo_inst/rbin_num_next_3_s6 .INIT=8'h78;
+LUT4 \fifo_inst/Equal.rgraynext_3_s2  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.I3(\fifo_inst/rbin_num [4]),
+	.F(\fifo_inst/Equal.rgraynext [3])
+);
+defparam \fifo_inst/Equal.rgraynext_3_s2 .INIT=16'h07F8;
+LUT4 \fifo_inst/n409_s2  (
+	.I0(\fifo_inst/reset_w [1]),
+	.I1(\fifo_inst/wfull_val_4 ),
+	.I2(\fifo_inst/wfull_val_5 ),
+	.I3(\fifo_inst/wfull_val_6 ),
+	.F(\fifo_inst/n409_6 )
+);
+defparam \fifo_inst/n409_s2 .INIT=16'h4000;
 LUT3 \fifo_inst/rempty_val_s2  (
-	.I0(\fifo_inst/rbin_num_next [4]),
-	.I1(\fifo_inst/Equal.rq2_wptr [4]),
-	.I2(\fifo_inst/n133_3 ),
+	.I0(\fifo_inst/rbin_num_next [5]),
+	.I1(\fifo_inst/Equal.rq2_wptr [5]),
+	.I2(\fifo_inst/n136_3 ),
 	.F(\fifo_inst/rempty_val )
 );
 defparam \fifo_inst/rempty_val_s2 .INIT=8'h09;
@@ -375,6 +387,13 @@ DFFP \fifo_inst/reset_w_0_s0  (
 	.Q(\fifo_inst/reset_w [0])
 );
 defparam \fifo_inst/reset_w_0_s0 .INIT=1'b1;
+DFFC \fifo_inst/rbin_num_5_s0  (
+	.D(\fifo_inst/rbin_num_next [5]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rbin_num [5])
+);
+defparam \fifo_inst/rbin_num_5_s0 .INIT=1'b0;
 DFFC \fifo_inst/rbin_num_4_s0  (
 	.D(\fifo_inst/rbin_num_next [4]),
 	.CLK(RdClk),
@@ -410,6 +429,13 @@ DFFC \fifo_inst/rbin_num_0_s0  (
 	.Q(\fifo_inst/rbin_num [0])
 );
 defparam \fifo_inst/rbin_num_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq1_wptr_5_s0  (
+	.D(\fifo_inst/wptr [5]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq1_wptr [5])
+);
+defparam \fifo_inst/Equal.rq1_wptr_5_s0 .INIT=1'b0;
 DFFC \fifo_inst/Equal.rq1_wptr_4_s0  (
 	.D(\fifo_inst/wptr [4]),
 	.CLK(RdClk),
@@ -445,6 +471,13 @@ DFFC \fifo_inst/Equal.rq1_wptr_0_s0  (
 	.Q(\fifo_inst/Equal.rq1_wptr [0])
 );
 defparam \fifo_inst/Equal.rq1_wptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq2_wptr_5_s0  (
+	.D(\fifo_inst/Equal.rq1_wptr [5]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq2_wptr [5])
+);
+defparam \fifo_inst/Equal.rq2_wptr_5_s0 .INIT=1'b0;
 DFFC \fifo_inst/Equal.rq2_wptr_4_s0  (
 	.D(\fifo_inst/Equal.rq1_wptr [4]),
 	.CLK(RdClk),
@@ -480,6 +513,13 @@ DFFC \fifo_inst/Equal.rq2_wptr_0_s0  (
 	.Q(\fifo_inst/Equal.rq2_wptr [0])
 );
 defparam \fifo_inst/Equal.rq2_wptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/rptr_4_s0  (
+	.D(\fifo_inst/Equal.rgraynext [4]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rptr [4])
+);
+defparam \fifo_inst/rptr_4_s0 .INIT=1'b0;
 DFFC \fifo_inst/rptr_3_s0  (
 	.D(\fifo_inst/Equal.rgraynext [3]),
 	.CLK(RdClk),
@@ -508,8 +548,15 @@ DFFC \fifo_inst/rptr_0_s0  (
 	.Q(\fifo_inst/rptr [0])
 );
 defparam \fifo_inst/rptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/wptr_5_s0  (
+	.D(\fifo_inst/Equal.wbinnext [5]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/wptr [5])
+);
+defparam \fifo_inst/wptr_5_s0 .INIT=1'b0;
 DFFC \fifo_inst/wptr_4_s0  (
-	.D(\fifo_inst/Equal.wbinnext [4]),
+	.D(\fifo_inst/Equal.wgraynext [4]),
 	.CLK(WrClk),
 	.CLEAR(\fifo_inst/reset_w [1]),
 	.Q(\fifo_inst/wptr [4])
@@ -543,6 +590,13 @@ DFFC \fifo_inst/wptr_0_s0  (
 	.Q(\fifo_inst/wptr [0])
 );
 defparam \fifo_inst/wptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.wbin_4_s0  (
+	.D(\fifo_inst/Equal.wbinnext [4]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/Equal.wbin [4])
+);
+defparam \fifo_inst/Equal.wbin_4_s0 .INIT=1'b0;
 DFFC \fifo_inst/Equal.wbin_3_s0  (
 	.D(\fifo_inst/Equal.wbinnext [3]),
 	.CLK(WrClk),
@@ -595,7 +649,7 @@ defparam \fifo_inst/wfull_val1_s0 .INIT=1'b0;
 DFFP \fifo_inst/wfull_val1_s1  (
 	.D(\fifo_inst/wfull_val ),
 	.CLK(WrClk),
-	.PRESET(\fifo_inst/n371_4 ),
+	.PRESET(\fifo_inst/n409_6 ),
 	.Q(\fifo_inst/wfull_val1_3 )
 );
 defparam \fifo_inst/wfull_val1_s1 .INIT=1'b1;
@@ -609,23 +663,23 @@ defparam \fifo_inst/Full_s0 .INIT=1'b0;
 DFFP \fifo_inst/Full_s1  (
 	.D(\fifo_inst/wfull_val1 ),
 	.CLK(WrClk),
-	.PRESET(\fifo_inst/n371_4 ),
+	.PRESET(\fifo_inst/n409_6 ),
 	.Q(\fifo_inst/Full_2 )
 );
 defparam \fifo_inst/Full_s1 .INIT=1'b1;
 SDPB \fifo_inst/Equal.mem_Equal.mem_0_0_s  (
 	.CLKA(WrClk),
-	.CEA(\fifo_inst/n23_5 ),
+	.CEA(\fifo_inst/n24_5 ),
 	.RESETA(GND),
 	.CLKB(RdClk),
-	.CEB(\fifo_inst/n29_4 ),
+	.CEB(\fifo_inst/n30_4 ),
 	.RESETB(\fifo_inst/reset_r [1]),
 	.OCE(GND),
 	.BLKSELA({GND, GND, GND}),
 	.BLKSELB({GND, GND, GND}),
 	.DI({GND, GND, GND, GND, GND, GND, GND, GND, Data[23:0]}),
-	.ADA({GND, GND, GND, GND, GND, \fifo_inst/Equal.wbin [3:0], GND, VCC, VCC, VCC, VCC}),
-	.ADB({GND, GND, GND, GND, GND, \fifo_inst/rbin_num_next [3:0], GND, GND, GND, GND, GND}),
+	.ADA({GND, GND, GND, GND, \fifo_inst/Equal.wbin [4:0], GND, VCC, VCC, VCC, VCC}),
+	.ADB({GND, GND, GND, GND, \fifo_inst/rbin_num_next [4:0], GND, GND, GND, GND, GND}),
 	.DO({\fifo_inst/DO [31:24], Q[23:0]})
 );
 defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .READ_MODE=1'b0;
@@ -634,42 +688,51 @@ defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BIT_WIDTH_1=32;
 defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .RESET_MODE="ASYNC";
 defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BLK_SEL_0=3'b000;
 defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BLK_SEL_1=3'b000;
-ALU \fifo_inst/n130_s0  (
+ALU \fifo_inst/n132_s0  (
 	.I0(\fifo_inst/Equal.rgraynext [0]),
 	.I1(\fifo_inst/Equal.rq2_wptr [0]),
 	.I3(GND),
 	.CIN(GND),
-	.COUT(\fifo_inst/n130_3 ),
-	.SUM(\fifo_inst/n130_1_SUM )
+	.COUT(\fifo_inst/n132_3 ),
+	.SUM(\fifo_inst/n132_1_SUM )
 );
-defparam \fifo_inst/n130_s0 .ALU_MODE=3;
-ALU \fifo_inst/n131_s0  (
+defparam \fifo_inst/n132_s0 .ALU_MODE=3;
+ALU \fifo_inst/n133_s0  (
 	.I0(\fifo_inst/Equal.rgraynext [1]),
 	.I1(\fifo_inst/Equal.rq2_wptr [1]),
 	.I3(GND),
-	.CIN(\fifo_inst/n130_3 ),
-	.COUT(\fifo_inst/n131_3 ),
-	.SUM(\fifo_inst/n131_1_SUM )
+	.CIN(\fifo_inst/n132_3 ),
+	.COUT(\fifo_inst/n133_3 ),
+	.SUM(\fifo_inst/n133_1_SUM )
 );
-defparam \fifo_inst/n131_s0 .ALU_MODE=3;
-ALU \fifo_inst/n132_s0  (
+defparam \fifo_inst/n133_s0 .ALU_MODE=3;
+ALU \fifo_inst/n134_s0  (
 	.I0(\fifo_inst/Equal.rgraynext [2]),
 	.I1(\fifo_inst/Equal.rq2_wptr [2]),
 	.I3(GND),
-	.CIN(\fifo_inst/n131_3 ),
-	.COUT(\fifo_inst/n132_3 ),
-	.SUM(\fifo_inst/n132_1_SUM )
+	.CIN(\fifo_inst/n133_3 ),
+	.COUT(\fifo_inst/n134_3 ),
+	.SUM(\fifo_inst/n134_1_SUM )
 );
-defparam \fifo_inst/n132_s0 .ALU_MODE=3;
-ALU \fifo_inst/n133_s0  (
+defparam \fifo_inst/n134_s0 .ALU_MODE=3;
+ALU \fifo_inst/n135_s0  (
 	.I0(\fifo_inst/Equal.rgraynext [3]),
 	.I1(\fifo_inst/Equal.rq2_wptr [3]),
 	.I3(GND),
-	.CIN(\fifo_inst/n132_3 ),
-	.COUT(\fifo_inst/n133_3 ),
-	.SUM(\fifo_inst/n133_1_SUM )
+	.CIN(\fifo_inst/n134_3 ),
+	.COUT(\fifo_inst/n135_3 ),
+	.SUM(\fifo_inst/n135_1_SUM )
 );
-defparam \fifo_inst/n133_s0 .ALU_MODE=3;
+defparam \fifo_inst/n135_s0 .ALU_MODE=3;
+ALU \fifo_inst/n136_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [4]),
+	.I1(\fifo_inst/Equal.rq2_wptr [4]),
+	.I3(GND),
+	.CIN(\fifo_inst/n135_3 ),
+	.COUT(\fifo_inst/n136_3 ),
+	.SUM(\fifo_inst/n136_1_SUM )
+);
+defparam \fifo_inst/n136_s0 .ALU_MODE=3;
 INV \fifo_inst/n4_s2  (
 	.I(RdClk),
 	.O(\fifo_inst/n4_6 )

+ 1 - 1
src/src/WrapFifoChain/FifoTfe2Bytes/FifoTfe2Bytes_tmp.v

@@ -4,7 +4,7 @@
 //Tool Version: V1.9.9.03 (64-bit)
 //Part Number: GW1N-UV9QN88C6/I5
 //Device: GW1N-9
-//Created Time: Fri Nov 22 18:48:03 2024
+//Created Time: Fri Dec 27 14:43:53 2024
 
 //Change the instance name and port connections to the signal names
 //--------Copy here to design--------

+ 261 - 237
src/src/WrapFifoChain/FifoTfe2Bytes/temp/FIFOHS/FifoTfe2Bytes.vg

@@ -1,7 +1,7 @@
 //
 //Written by GowinSynthesis
 //Tool Version "V1.9.9.03 (64-bit)"
-//Fri Nov 22 18:48:03 2024
+//Fri Dec 27 14:43:53 2024
 
 //Source file index table:
 //file0 "\C:/Gowin/Gowin_V1.9.9.03_x64/IDE/ipcore/FIFO_HS/data/fifo_hs.v"
@@ -17,247 +17,271 @@
 `pragma protect encoding=(enctype="base64", line_length=76, bytes=256)
 `pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
 `pragma protect key_block
-hcfdkzMLPxta/XqmU7ahlrMXOiNAprFK/f8c+TCqTCWcZSxLLm/U7hUVeObldS4ujxRb7cwyhbBm
-pcZVnu1jWKhQbjKtn6Agbd68t/TpC1N6eRpPRBk7ZBuzazf1py6yMUEDqGo+YZ0CsHk4TwhUu7nL
-k0dSSCDUZHL+JL+qZUrIRu+xkFYXJM/prEu/O+vZjhZf1Q6X21SA0iAa74+UHYT6oadNONzRKmX7
-xSOs1ydLl0KkFFnB2W/FCYV5NIfUjGTLVpAnXIkSlV0DFZqmivY2D8XOwikNDoY9bE2fxAQLQITh
-eHwgUqVWafiRrcSH/ZCJtsAb1tnhivSXsNg1tQ==
+nvzV1Il9ducjzxrWNwHMYNUh+kOUmQEdi8bSOtdgw0x/rYSQL8zENyzqTGiySEgpg4vE6CcosGsd
+4giVHWrLsGKRx06kpIqpxD8joYtldoT/DF+EsAj9aeQ6DC8beTmFKVwGAQI8PQ0vf31vvAQrxXgu
+9wM2httNHvuQdpxmF8bJq/40hAA5YKE4f0MumlZDvGs5K5RI656GWmnHibVn8Y+h1m53H7z13Ijl
+t/mP1u/6z2bGwLqYm0RHeRaCaaMJ8iPwSqkcrbD5CulJM9t6bQ/zLkTeacm9W0xYBgVi3Vfc6SuJ
+4jVVqri6IQR0iFmLvKjQGVqDYc8AqeEOf2VCTA==
 
-`pragma protect encoding=(enctype="base64", line_length=76, bytes=13056)
+`pragma protect encoding=(enctype="base64", line_length=76, bytes=14464)
 `pragma protect data_keyowner="default-ip-vendor"
 `pragma protect data_keyname="default-ip-key"
 `pragma protect data_method="aes128-cfb"
 `pragma protect data_block
-VO5UclVlux331KzIuJC+BVXeUDk84Ju2gFvH4xYh05Eb7c1yKF4ppDHhJua6Xg64viCQENW71uq0
-Vz8KtiHIdqD0LujvdPCoPF5c12NNPjw/YlzvGTtypzT1qB4/PIjhf6EBWT0FcoTZ0M+b0GvWMob4
-x1GvEAN3sgHUGZhSA+RX5er1YMNsMAM6qukyQDZDTa68UwvqqDxeNQBn7YC5h+YhYu6S/MBi8/x1
-3g/PouhHMppYFUJFpki0sd50QZXftSTOV2R7jszadGv/FDNwzJKU+Oj1/LOsiaQRhDPmqYht6VGM
-EWu9VQxL03GVui1wR40NgHW0Ife/8ZyKy2xUYAuwkDUxSYfahkjR6qUtfjc+Tv5CGe3cC7+nNgIc
-suzpE/P1F1bk42SpoqTJtUkb69F1egMqaWkJaL19OvRqgF0MEfbDODyC8tRleGnJhsE63gzHTLwx
-UJ/uFA8318C2SSJh6ULGXqLAu3FrE3RzimjaB21T/VsnEItzJG6X2yA1QOiufTuJurJPkoMcKwdM
-Bf4FmR3cn1trhlzbN+j2Jvh6FYsjqtRHc1ohcxG+/qxocTP77gwVEMDyGl/8CJf2UbObFsiujtzd
-1Dm5FX4q22wbUMi8zyfUvVOjbS4LdmgkAE1QEFKBvzXmioZsTa40UlPNzp+MIbU9zIGTE8L/Z51s
-583v+whS34NKcIZjSMA8afLN7UZt2qG0z6DcwaVB7p4xRGE7E4vVa47oYgHJweaM2u+WtUFX8Fjv
-LHsxeEjTVaNnXAJ2s/pz/XSuaV0T5wmzsL/NTBWix6ZYArjmVxhhnZJF/XMSAErK+lQJ4MRymEAJ
-h1P7jhJZI1oJ7zXlXhFIJkbrE8SGP1LUx/FWdQFSnpQ9kRfX+vkIm+ICwtQV5IpduplxDaRJ23x8
-yBYjtigQijoguramnsOiUjyXuGAobOs+pC8iobnZ5TfAyDIDXrUVpFf1Kw5Cx1dKkeDiCsoun6Q/
-OOhb7WCLIO2k8lQwhl/9Mc25bXUG0bngxcokRVbigldY8E8Y19415ZkikvkWqiiR37G5OJFA8wfH
-FVmWCPvdYzcXXjaFkoq9U5Oxkx4Z+ARih4EYUzhNNhREENvOl+Vz7q3+6vmX+ENyyZwaFYhzqUqd
-G1PPFpGz1xCd9ZbE3/yRag/UyzX1fb/kkB55K2+3V1Sg9UE9kR3QGH3MUZVhJmUtRGz42LqRj8bJ
-MGpKr837BhnxSs2Am6S9J2XUz9Nr5etXafpVCGiI5hmKbI2u5eHAkGkPDz85zT859bJXcymxjWgO
-+Y1xnrd0hnsi1EyOAbTqZjKz43xPQy9pPjLrhGd5ebROHv9G5wfFAQsvwfv6NMqvHxwHe8jm2uUY
-kEiwcOb51wXRdxigdKXgFzdIJzTbt5VskDQMiydrOgjF0ImiCC5vjXBcarz7NF4IyNV0KVvY6aW4
-vBmGsemUGfSpXO9tln8lb55YtiTZ9Vn+7FIRCMk+vK3MvlcRlLlf/4Fxlv02q3Ne7bHIq9rOq4CF
-lxpbh2awW1s8F5eu1Kyc0dz1emiY2CFsBlenpjOiIEHz7blkn9lkvSkLPf0F6jpyaZzSjZdLqqND
-j9B9HoEAEPhequmYjSFXirdRZ/PCYZrkf54ifowYd/ZKM/m98hAIZVEsI+9J5JcVe1W3M4PwAE34
-os8k7Izp4UIdLYD0kCcxPSEq8Hq+LrPcyfTge3BFce9RWvwY6rUNaLS/eJA/1+a/oB3ziB9eo9Wm
-VxTx1s94rMikdIL3rxnGivO11teJDTUkZ8Txr7/q78cs5Iq8NTwZQz2I8QRUwcTJFyznv7jTw+sf
-/Ih0oEXj1UMTH0N+kpK312y/XYg/bHzbkqTnjGCBp7Dm9gNzMNmsEIun3z9LLF9wuAxNLZMyZVVH
-OZ85wb3iEyE2cZYaS15ifWybymYGepzpdkv/nl+pcZG0uullXw5SzB0WJzvPQcrqk9tGA/4m+Z/e
-yVRWjBuoWNrT0KYC2n8vvU9RPmMqyMA2wY3GvPZIDtJNWzHRWSZWAfbLmeiVavetwRqD5QlwHLhq
-ei3Gejs0lZ2NoUIgsQjKg90T09bR/3utpPuaEKEig9U2G6ifgVa2z6uyDUVYxFgd7iysF6CJdlZG
-FINnn1DMh5Zjt+TrzmmsA6Te+Ce0FyYXRchOA7V2N9OecrOYb+ohIVDloLc8ef8W/OuJCfSGGKZq
-4jj+/ABEICNUjWzmYA4L2qhjxNTkZ18biVT17AS1MroUWiHwwkFcDrmnnu5hA8btRqD23ru8L6qR
-Pq4oDM8Iq460CE/I273cgGB6tLZ/BcZ4xacMYnxe0lDMj7bbnw2BlS94JOsLbxvAamnbiEUdtYTW
-rqrEl+YMgnOPK+J4uKjSB0cKemPnf2AYphejlnlhCrHACLGZItfBrb8UMdWzJboU5R1sdlXsh6wj
-BMlgfbJpVpiXwlnRAeITB1gmAbfhF+Nyr3pbLSlhKMCURwcS+7ARFiiMuo/mDUVHKZytBNHnTRc6
-KEvWcgM/AguvN3FLr2h5GYKemGi8YVLfE/Z4lDF+n2mRTN5KEdXawtEnxW9dcIo2yEuIry0srRYz
-iwsSRa5JmMg0J8+iSnp4UPsRePng9x35J4ZLIChHvvXdDnQwDH7i3C9x2KaZz35PU4/jazfhtSvt
-SmFzbDkrV5li0923EPIYbNxQzTM87j5iL233o14RTv77t3u9/s1hcg6vk47Rhv6BZOlWCoCR5uEd
-ryS1YVN/+RmOfEHpQ54hO1VAAIgyRpa12OYg5LAVvu/aLh23PRCkojqqrC8CAmYhJuZx0P9t9+Nz
-276iyh0tafyi1JT2Elv3fG625FqX51hQ63XojjlPOrL2FDkVY8MVjjIf/eOqisWItInf78lvMiba
-UH6nVtAIXQH7wE6uA+lXMUI1N541igNEt0JpMPwL61a9xf+34AEn6n0J1EQ1UC/UhBANZmv7cNQJ
-qVPZF2wCRo64zYsRbi/1cytAXQrI4BEbFzE0B+XprYJRcs0icUN5niEIkIUwUlnmOolTqzlaOwqa
-acRsRSLZb2t7CdH2ACK5KVwEZfCxy/txpYCkp5Uj03uPuVCk9kxDpWz8WD6FLsJHFpnSyOW/kIE/
-aFhdNu4MA6/MLxYWHcYydE7Wbqbgk4TlepW00eZgnuaKzTu845O0PUyPRffpOv1gvTXA3jVrp2A9
-Pq6RjYmag1W4/HP2VwOvp6GKTbQBBdlBKRWi3xXODaq12Xa0xBCbFkDiQ0eNKMPVtRBn4qoVIEyU
-6oltJZNxU3550AkZRfbmTUWL60HlXby8UH68qgFBTqin0741T3w3v0R4nTWjdWtInkF0nbD1TnOy
-hYI9K/8Z/eS3MrGnBA9rHjlTP0ka5M+1oD8LyoEJESOTA+eOemdk2JyNzoW5FaSjXKnmbgci7G9u
-G4B2dJZRlqmiDcDWH7dXTtl57iyKKi+ADgfEEN3Tz75e+lBgGQd2O+z8qsGn+i5cfPE9Mj+tVbKt
-ji6R1+mnRlPKKObuGkYu72k3EDSg3xI0/ufUmw1GeFbMvd/BR9BibZrOmhUHJubTfMkBhg+eVL3r
-9X4BNoxM8OXwEf6kAo2ndmw4Oocf1UrJV2orWITxOlMCV23RB+B6/dx6ewEK5klBC6b4dE3V5cnM
-iD09J8xutxsuBwb+of5TBn40Z3psloTmwOS/a7rzWPgKOR3IM4Cw6ZdDlhszLcuBjqs3Y6qw44SE
-LYAebbRez7/DzBCNkSlUY/DkoHdTpDDwDVQ4kdzTRaxKnlNw5aN7DpJkFfaymnhJuZcsVs1wuLD3
-hPgcG7WsCyJDwmlfwmnWdICe0iCbucWxmo8wSOxDVJMJ9B+qRdxhS0E1UVHS/6AV6A//UXU9bIp+
-9gBQLZXnOC8jKtdcf4oQAqYh3qDR67Q948ExxCjcw1s+BTN+XApibmycFPwILqGZOJ/+UNPJRf3v
-xiiHQOS65WXvCf9Sr2XZpoBF0kuiwOQOiIDS19+COdoVWr4kZeGn5bGb5qwXAroPg0LWYxrok/ci
-bCpv+Bbr85NXX+aRPXFqqnn1fqpx60KYYg40aDJiJdzZ1D8Luqn+a6yQ3nfOHofFbImBhkaviMOD
-Ufxbp3bfXviuISyv5O1pX4MZDGXLuBZIuVB+TmXOJM5GYp5jlzxQ1EMGa2tGssorptALYDMH9um5
-4SbUsD88F72PR5briJ1RD3hWg4uuHBz4SdGPBw/upyVUmTyGvUXT77w1Lf+gr7Lao5a4rRWS/Z5x
-1MTlZONHBjH9gTokkAbKsspzR4DnlZFZ/eKuM/7DTrFwMughZOUxUcKZDXf4tkFr3yQ3Ioo8bEKQ
-5pJdCui2r3xmZRv3KDTR/QEbNX1diU9DkRB07/z6xq976kvURyE8uRj517xNFOeiYxlEKiv4FrJs
-vxHwRMS0ViTDgaKAJgpLtHad50ijlS0KwBL2NGNd1sy2MOtGB6sVXlFHa/8CKem+g0OSHMBxjx7h
-YQDNKtGFiNv3gFJilffFJ+CWaSg27el0yEbuBZ2nT8L3FR6dD1U2eeajaWcw33F7F2TBcG/iFxTu
-w6PFUWb7hfRHWVBOchBdbNViu5XaxgY+RIwgfStqnBLiob88ZnqR+Pk9cvc4mnQ1vi0cIAVekEaS
-3Bjqg63mdNlcOMSFRT7xYmeMcupyIAKxQHBNGWxQWl8vnjE25VS0XNw7YJ8QWli8C027+rkFIQR1
-/YULS3LbDHda3OjAQhL0Cas9jMX7RJeFJppM7CCACQ8+u3hPhPUIhOIuKNPYmdSEZpjtnHd88Mdb
-78Es5kccpwqtSfe3MZZir/pks3JJlZ34RyKx5C/z+LKgPdI3MsY7fcfHnRmxycHsODDtiasifu4j
-wmmAEzi21fBUcNEelQVcjDrRLkH+y8tzTUukqYMmSfzElkGYxZLyPVJ1Y9POODQQM4Eoo9xsVUw0
-kwJeAB3y928wBgIRS5z0hsGgyMPbZyhjjrVaxfhZdL+TtjY01Bv95R7MDAayxpPMmq69KKcAWKkO
-JkvmttJaypFuWUH2DXW1qgbqj3oGri4ZNTAxz1tjsqE6LsQteZCUiAg2xwae7LGae5vctH9eFRBJ
-UCXt3VQ0uh8tuN6eq+NdWWrgQAyMfgnpN6XooGbw3OksQJtpG/DJFAQxqc/Gssy51xrTtkHPb8Tt
-tgPc15HZfjJS8w5bxNyogmT7kXO6EvPwgB9FHEd6GvRuLIlxFL0aFRS0JC0J8JAW+qP1w9gFhbSx
-0nXJmEaGGRuD8YGpnq8qzTyD3x6tePro2VSvWo4LPfjYTnVbOdtDiJ+0CSMOXz1yJYm2WAWLaryL
-pKdyQ3A+12HALNDnqsfXcnV2QolNSHUPAvI/RyejJD+AVWtYF9xM1lGgfnOdu3IMYQgpYvUv02EB
-sIOc/069KodJSgg27nmhM03uMoFeOEb7VCBaG6rVSRGsh3i7znAmc75Ny5Flr1cQjCUBxbe8mkpR
-pRu9XcQIo+jIkLX2wxQIHVoQT4v2TyHonMQ9203AaGLBS3di2jZi/to9xvrN2OFdne+HPiVYy09s
-8gi/DRzLNSOT/KH72JrY+tBX7De0FVp3CRQb8QLrtEFyRC7Wt2yASO92mMKtFsS7ZCEOi20XCpY1
-LeubMG6QKapxG7QvQtX1jH55F+iSxCQZdV3CMTkbI31ompMlsKrVYiAaCsT6rw7wPk7eLVTg3av7
-MXr3ioF0hpDGOMQHREdzg1Jmf4Ogfrv2bvINGAJQA3TcSsni28wfGa7gDevPkdeVVKgvOMamDmyV
-a+XMY5WuKageIdrera846518pHM+j+ZbfIbD0LUbKUV0NKDH97Af85huSxppDWW42esIXx29gMb7
-ySr39OW0IVw3BYgCglH77fbMmvDMD8b5Ztzf+6FAZ8L5pPlThM6hUNKpa8jdwHoKmw12ay/G6J3Z
-CDe7K8KqQDueqtumxUzd938EJeipj9aO9tJyJW9XW+dRPbreaClLaYcJ9IoGZgxvdmNEPRV6IMNU
-inXpba19aQd+VDqmmP9B0opK5JhDF8rBm7kDCake7im0YVQ18ZOG/yad48LFlzv8dQkYSmfyk3do
-nJIUp1S85TC2gTJJeOgFCo7eCdmQdA4f4DrIrnVuKb98ZRO4or4Y9c6D5u6CpGN6PVZIXsymka18
-dPpF2pBWrtZnczoCcnf3VYKzTcWeupIRMH6DXrOKU35MOvWdKt3b9yGB2OE8qtjrhqXsBrdByN3a
-BCezBLhxmA7lyY86lwf2s96OWhJDzsd63NF6Ju2Juy6J+8daP0gxPxzny6zhFcvyh98VfTHm4ydK
-t7aLdMEzsFTtAm8vUeXZSM3JzzQuqDt0gfaxh3ZMdllTGMrW3E6FrIktzuVBPC4oXQiTqXyAU7es
-PVYdyo30CRCNo9pr8wq6shQROVJ/orTOEWZ+MIrD1j8hwHyUQ9hnnRh0eW//qAXHY36sSkH8D7SW
-cIt3x6Ae15Putgt5KxxMPX6f8WrE8+SXZVj7a6xLdwAg+QxDeKW3qL5Yqcecv/YUYiEK+/K/j95T
-Mx6fY20z153RhSRNXlwNqtEAkLhUlOVDTRWwLtc4ipCBJuFR0k/IPLjK90/vjSJ1IUQrkM0phHF3
-c2miwICbAawtnpc92l16MFzxY3K68+s8PZXNAB2TqVixglmgWApi6EI+Dc1gx2o4MBjpPR0VPhZh
-N5cZ/74cyFKTtJha3MaK+J1KmCdsvrOfoVHXPbqQoP+JBnPxs3EIH2pdHeu3ocDAWHX1+hGeH5m9
-r/WT1qfxhp+rktZP+6O6wt1E0vNHLLimRLCHB0oLJQknliaTpnlnzFCWWX/X/8BKgoXzcKD7e1ws
-ggcJawI5m4yIayWmGpkf90iWmC3hlFKmZpge3IdArYLyiYdhIWk9NtNGkW8yJeBCy0rst7+Exqt/
-JiKNP19R2NoBpkND+ec99/1/UocJfbFd30D67G6GVjWKZsoFwZ/bX8QifASkqgI+/y6ZFJp0kD+r
-WP/1WYA++i0PS6xKlCgVzwQNpBWqMHbbk+3ImjMP0UVG0PgZJaE1Td7IAt5sR9iZ2ZNC+RqzBdJ7
-Ax1dsJrGNoOxsAPpCyc+QzQmzlgyHzB4njVG8cLa0Xnayu7qXYMFDE/EnFQItLsQQ8UUb/x3vtDZ
-BRJJOKAdKiKo02sNUQ+Wht1jONS8FRwLRO9y78caAcAQGGiPUjjhifKahlkcfInJWwBsyPNHSu6A
-DYzh9kQFiAbi0Okh0RGRRSKo1JSAQo9TmJUoWolG0cey75UblahZpOfgoJ78sfIuYVpp9j2B7Jqn
-ijuxexDlMdzBzgV9NetdnNtjDqwTWlXwGTV1oPv8D4T15Z2l6bP9wiGeaYEs7ZmsjtK12mlhcR6C
-nJkhzGPFieIRkqeRmiDwS24MYKlDDKr6Q5oswuxvquNeLaZnR8moTJcBFvD70OVQRWqpCdGTimui
-wxeBPVvsAzZdQWR39HsnwDVv29GNCbsLtjHoB9+JdrZa2yTk1V4onCvG7l04gn91uTSUVg6uIhQl
-vYDAsA1hg0/SzrC8KDsD1JYnrJjqoem+DSmWkE4nBpN+LbjcgxKeOFlohpVA8eLzO+GhYmIrPcvP
-YnoGNiA2cQaKpiYCvYm/eWaTCiqA02rwHchPgU5m38WoKmF7XVD2ONqmyGZLlVsz/tl9Q8BdA4aA
-AFkyBQfrFlSk/S1JbJhlzFXr89kiQcjmuDvijwbFgAplq35gmx6EZkEzCFVD9TWzN43FgLWt0n29
-PzYzL0sRCqN3euGX8jmEGF9pyAXBrAtxAHDjzG6xILoq6Np2UfOjXbTr3C+ttYdAui7BRy5/r+v1
-NCCWqiOjhNqk0q0DwO3dsU/pvwG+yPRQO4dk3bDVhk44sHHTWDOOyTXJmXcHhYkVEq38+kKardEh
-+oGk0K2sB4QkrRC7GCtyShTF4byCeDAjmYUcpYizPOP8j77x5jJwziiZVUNprS5qgg/NWlMKYweW
-gSrVDROivYTwHnScFISMsT/tUWQBZvaC/ecTuIpjFntmzboLjE4hHYkGBVHPjv7wWujIF56lVVFc
-IbjG3KibnG0qJTrI9NLe5aDeFR9SquzGjHRx4SuM/aQ67/J9K1mx7R/p7vO5+CHSmYxzQznfiZIP
-Jg9ANCvllteFj+YpaPtXp/Gya51i81gxJDLFPilb0qAno4V7fGeBOC1Y3CjqFoRDQ6+1RQTFwOlJ
-MsDpKp4te8qCmNNBJ/91qsj/bJiRycGydtwECNFLaRWaaVrhcw6YuSlMTqd+yEASVVHvPdorJNS5
-tRtfP+oc+zxoC/CRknDJUEdy9rm5QVzMsCAhszBpNlKjTU5JOWshY7H5ky+zWO0Y+XcEjIr0Bb8/
-k42ZZmzgE05hwXMxTxPteqJzYE2Dzs4/iGyky560IhDHNNL8Wz/1lc8o3rhy6uYMFjrbVZS4mkjl
-X6vTjK69d3rZCcUKcn5hKKRKjCizh/+twQTTGItGkjCNU/p78dTC5RO9xvPze5RBCxNzOAOV9byw
-CwjRk7jFzVnXE0P7+me9jm/pbY6s4jwHN0PBiR0qA2BitTrTmgaxRIvQ+tzDTT0ewi/GTuGJ6iSj
-aWTxSk5QAO1VuA9vEvu7z7appHSW7OTGinJu6B4GoQDjg+l2q4tFZ8UGyr6VyrmMwU9GthzVLrMJ
-KljBhKOBBbq0cyBTP8Ou5xt6kBeZtxJ6ia1VLl/WQ727HHXNqri1RSpIblb4ErTHaHtGrEnVfxLs
-PEwLF9La/RU+UvKx+WuFpP9DQNLmkG5XnvjXt+pQilgGx3e/1QdYaDCaGZYfmxpalv9e1hIIPCmr
-2Jv+tc4OqbqI5Qw6UVI7ajkZhId8uix07peaC8Yzxkelq08dI+O17CQ3+uv7TtL6gCd+/Ql9Npli
-BGQ1xhUBWX8u2ozb9/SICQqV3OzVWAEWPHFh5UvkL2OcJ/9VXtxuNBshEBUFK6B87tbuya5eVnW0
-H0xVz62T3NKcIhI1quKsnl615+XWvnGe+TiIhhqgIUHfmNBkByEMv5x80z7VkcqBph+Q+GkhJl+E
-0nXe0BWLUT+q48B/osHy5vgNxKthpmyTjlmjx3sa0FcGkjiFqCriw0yFZzImZGyd6XMUtoaANNxY
-BpN+nnY1eetnh5YzjGfVrKlYS7R170JPbiFzs63NPz90QrvkeR/7C3/senTRxa81KKlbnBMFYNbY
-svGyOVOkiFZNeHTqTEplPMfPYRsicYFgYWOMyFTNAZTJB1Hxin/q6oaWZ8li6c4EyMIkI7zXD2fW
-dZszAnRs/O8/P3EFwtcFn8jj9RtO/A+axJjzA98uuJGeOZnR+ZsGZy3ruKmQKLKHX5+GQScte1I3
-gpMF2GlilTsB7u44W/ZqkV8ZvjG00R1jLPGf/cxumCf0qDUYSklyl2t1RgOofcnDzXc3I+lkn+oA
-Morg8/kk7LnO4VDsM+6GLjDjVWkYM/eKs468j6tL6hZPSiLxZ8vxIGV5Xk3txqh5/ccQ+X05P4gX
-54iNje0xzp1CLe8ohbkKKQJxlVHbmzaR1bM+n7qNG4k22E9YO7Mj+F6sEfvX2It0u1rwmy8YuADj
-cL7p42SZbMZfYhEADHvot/u0DO/zzyc8o0oRi+NyoYCDcEDQ4p82+37yVuCkSURLuwO/eYUW11bf
-FVF134oVCRipbCdYNfCh1A7xN2vA6eMWnYf0aRUpTsX65x99nWxWdfjgctPODoN4Y1/fHqfc1vON
-Q73ME2BbIKRHRpX44u51sKGop2GxjfW8MNAez66lwQv0iMBbSGD4ifJzhfqNkZmM9mxvCOTrzldU
-FJyi08kaBg0MajJzxn5nLpy+SUaYQ6OsIjG5+Cn/OMDKtrt3P3In5Cl5AXCt+wHCh/pTYnUNYviT
-PXZKFvpnwPM5jUA3BragEIIlJTknmnFgssV0R9exs4RKidAAnreVRiNL9cUxNEMWvwdQMl/EPRUS
-RN0rCptdWbapgLF7HePUN6QZ8PBVleEL9m2qv3c+kuvLH1ZAWRLYZgBCiBSJxYjVabQoXqHTAgpN
-uFm3mF4BVZpL05ZbcgU3j8vqjVYLyRiQUpykVjFRHIEEx/dg3IshiFwhxIAVckVy/OLsLYgpOqss
-RtOVwhhVlfFGNwHs1ahgORmfoOxj6/d5GGadFVOC+ptrGfqEzkfcTFCDMrGRSLZVa61ZbtMFmAnz
-cUcvnKexOpkf+z97mUC8x808IoiJ457T7PS+3JsB/3Tkyw5RlkEPJEmXcKOy6qe84n9xfXhex8TN
-SSoEf8T3ilfyp/x5gEVuhFwnphvJJSoIkp9uoY7vdS7S/WftP79vCqKfwshf3c9W54vD9XtgEo/D
-+l5lyY2RRQH1BSoCIgzPpYLmcEroW8bw2D438YWH5Yuve3tid65yK7IjnSKm9nBY2OJUFeOOiIrJ
-76995EyK6qgqKjOYqVSpVctM8MFdsczKJ4ObCe9Y6u8jgRpWnZ1kmzwoGqKVmQSCVi9fhN2WLNC9
-TJLrG2LdCZCjcWv47pEFDCs5+EllFNjuzNuY+qTlXjGY8q+6hNqN9NiTcBWDtOSF6mI/GetICudO
-MocEtYskSHPRhdubeB16qf5dz3Ah4zucV0Ukhj+4/9E1jmqX936BJw3ZixSgMB2m9XaJlpniMfx+
-g8uRwhgUOiqkJACeBtPTm2BFgioxMQLsrl+f8L+2pafyAVhA2QQ51Ll3M+vnvMVcp6qLJ0SlwHih
-QFZJ0gQSCmm70cl3UajI0omthr2x4GIgfbOBboE9aCz37hSAVFXDIGhr6MJEc0qB/04rZpxdrzrZ
-18Ds4PKUsk1ss6caIO0HAXmeUd21WnW0cZNaHWi/SkZR5BrL3VxwG9nar6T92shUVGLZUEINhtXk
-CjB5fvWy2NiD5Qb/kVT09osE97Ul0s+qyr+IE2bZxdgst9y1xVDIPnlOC0j+nCsCDQaIzteNfFUw
-YuNgLEqnxZHLe7VkYXNS/XlKPsWOGcLnk377WJ4/ZOBNfemfxq3Wa6t1Bov7qK3GS7KSn2lE5rlI
-TeS9FtjD72ECMvf3OcT5gYGPIIwDGrQtl6CQCVe5ePCiEQmGHX486pzJUnmehV7FehQQ56CjtPvo
-536zhS1AiKzr9O75Xhyp1dYKNfPUzi1iVYjY3GK7t3fE9wDlDC5WgMhhM+Q7IxOH0HXib90DnsPH
-ACDJRRNDQvTuO+neXTA5Wpqpjyh17FT/1twAeET0lUIuLiAPhdjHSfcsXVXxENTs+3EGXYeSoAry
-WEm5cOr/tTitVCPAA88LO0PymWMajKus3kY/6gjIPO6cTIP12aufcnQqFx04SVBtzF44+n7zIugc
-JY4pwc5JevPIO34oocRE8kaoy9xEYgZ6+W06dRUNQmJgRHNeZVMfANVd490YvVm37DkUNmdCpskS
-sLI1av+uCofj8Y2AYgsB+u1xT2RsYnk3oAWQJA2Bjrk4bPBiKumZnQHbGqcZJA67DMEKcvcBla6f
-WLZ68U1dyNwru5Uh0d9rXJMXsARRQ/OZzDYfcKS8tjuUQCim4KlmiVy/g99tCZzhOUnrFQEor7+w
-VpDZkAA8RBKPBr5eYYTTj5NGASnUvlCvrkURL2AwTwCjdDlSv5+kpF4BanjPm886ksg3fwwWzWdO
-YBkkdzsPcsxV/RV0k5duQNmxuC5Z7meGWQ84UsF4bf97cW/BOOWwfNY+dBehUm4tNT9drmv4S6IS
-4mjyGnMiTVsxkSLPbdNiNcvteNXBgWt53MycWJUfxO88HJZm+0oB7waKk8M0ri/9AQCTcF1CrAg9
-x3p+huBFDuhaCUckQAFGhHtpP6uH52cwJ1dbFpvq9TnNRytbBFPkh1YQm3VYpYSUqmKhBz97eW5s
-7WkbdbGQhPeGmTTHZNScL089lBvin5TOBKtJL2Sk40Z/VjUOTqaY6tLMGHEd5bZ8Cgm21TOYL0JC
-/XJZjUZ/UlzwJmeDHSJ+uwwQj+mAlAJtTwZwpUyJIP4eZBlxPs6S/JvpY2PvdzXHYqSWTupHSuqV
-qaFSNCznVqE4L/fhT69UVYfyjqgVW6cK4kMy+JsDijWfCySw4yWcHcBjsr06z96wqTM3eQ4oVwI/
-Z2LJY6EiMlu7sA8/y3FeMg70N8zdFC2kxJpjNYyYS45BqNoE7hRXrmXDvTcgcqM/Nem2aYvLtR1n
-QcQuCkVEYUaIzVsaEZbEaLvadG2Sa24hVILDnwWY2DjWgVkk3qoQoILeQesBZHweRETMqiWmKRDd
-058icAIBUBZQLWYAkRPySnuDYgvsi8ryCql3/eG2JV03eg88e/Dj2OZOo1c1HLJxlXU6ObQZQwK/
-7HvX3voYrAu6TkkgYrq6h4S1wwfVdyss44B0SL8QAg3tkXRYBnEt2L6/4N8SXdqJ2SQTmYoeZspY
-1krdQHeeyhB1JXjUsSlO8CCUkLtr+/94OhD3hiTlToqXI5CIuL+d62p6kWq8+aBD6fEKcxF8Cr7Q
-lxPDa9aXUKS11H3gpdAMyErvK016nQN6QlaHiBb3Bryv72lJL2cCoVBznNysI3TBAWyllvHDRCX3
-FA7DfnQUsv4YZDc/bnIWAFybtfVn77rqWlrgwGINKm50fcwCbsaB2CUjspozlxtTMqwBFAepze/K
-wqgq+eOyYAlXfX0QiPMrO4wfXjOWWMXo5FOlRsEmgSOJoEiyxM8O6h7vWsdhu9E10w8VY8bQZRX1
-Wq0xJX9csz2ajKVeLlMLU5M31jeJ+8gdqTUt9CB9DbCjgR6z8kchd1L5WW6lT+yjRu+UJBXhEv27
-QXKd8j/ZVWxjTaBpvK7j4teGg/QZVhaXrEcDokMUPPkjhrGf/hKIdZuERszboOOZqSqEoxOgvb/e
-S0kKu1yPxZ3ZX1Zqhhz6U3Zf4o/4QdnJoP+jcey2nmn6W2Mi0XAVvcLGxhsJ1vTjJVo2tkv1URbB
-s8Ks06TIYMcHTvSkkNznGdKP/Y27PIeVhIpCZWRjEhHpkTi51uq/94zv6ORECzokj65bSndGKiYX
-Oyr22Q28vJKLgqvdo25GyZOhFrHwe7DgFQ5jppsAS8Wfr5XR7bu2RbRF5B3/+JTrCw9IfYYTzWmj
-HyKhVLYbM/jz2kS0r+CQ5M6sXIC791to9H+lm7TbWa8WglXguO/czA3gAMnVwK6chp7Pm9QSdA8r
-ICbf8L12PXW0EzreTjTyD8uKPjlEvd+dI8DyDFMcmundmN18t977DSxNnEviSDrWDonFebWkBEw0
-yIcFTLN6fxIxlQ6Dlo+4cvwHyXvozl7peTgBPndggvLrVtwH3J2XilkZlkIT2o8gjmjKrvH2NqDA
-VXVfK5oDIcf/Uy9erY2AQmU17i4ExWCAIt7EQc6nU1Jj1tHxlI4g8V1NiODuIyAIVPUONBP2/M0Y
-Ss1weP7PXyBUjVIa62L6s16lTYEq+kZahYvxmKnQDKRwgcYvm6yS2YcBE98FXReqyg+qIQmY23PW
-Ncye5OKAJDmim1Mtas1VW1LYm2rM5BoBZGk7xU+BENVSqhlI7gYjObjscKMcEIcj8gp3hmQmQ8m3
-MwsU4EXvBjCNpoodUbc37BF4wBTjmoYvuR+g/dIkXmCD3OZyc4PZ/AGPbXgSRN6oI3BwWMwBlsHe
-HB7QGxgnkGwz5gWFA4tZyEhVo8nkuWdgiQc6t7Q0KDiNpGWN1VC1967puG5Y2BW013+2fbY9NE5K
-8Prk0MPUQQgc6ZjPIjfCU3NG62czRcSQp43oDGSDNE1gdrAPd0yHi9MxA7I4UR63iPdm5HlYKPur
-2+czWgL0ApCpxaO8zf7YMrfY8trGNR5IQTCe6YxZr0PIDSf74tA8NnxtdSIORJ7MJijc7xZWO50o
-K5Rg9a5jRJBpyWVwq8GO9ZN9QKQoJL2fNLv9ECLLKJLNfTnzHDWwqUSPyTLoei/dL0Cu4n2UAN/T
-vuNy6/sIWIqLijZVyWvNlDDwMMj+pyE/BIMOUpWgz7DlGOldSkLPqJE5atAyCnpVF9E7xs7eAB4A
-8uFypmUUpHFfwD99GqsA7pFNlpRh9ft6++DUsCKzKOjIJyYqeuvLO4Ylaj8sudgOXvNrN/AD6P9+
-Uw+mT+BxZWrnqVI553DqUzJ2i0ZBHqQolymQkt01URWV8GIMmSu5l3cIuEQt8C45+E3eohhV9eGB
-ucktewsJTwdsg/3yYwRP6O/eetA1dG549bIcKZ7QR4KQODJujzRpZNXU4c7gr/eyLFHwdgpCAZb5
-9biRr3ss+DFsyMyAk0HQuPKeiMPFuR4rOrEjx0w4qaJggWfmbWGEH5CMyKHRj/KD06RM/Pq5Uo3z
-BiGVOh38PCsrysJj1eRNWkg/kIB6pv9ps4uj9tzwdsRzkIGxx9dPzdOzXsGFjSRSv6xN+uy1J7GH
-0xeyzSBBtjE02/bWprSdOe/tJ8VvlLqXpyjwImFvLY6cr6VX7XW7WVXuoBQKp3xcaJNxMvVs8K/M
-MSBttNLic7QWl0i+1jdl2imvo4E+d80WzPH0pKQX8sc1EJfp9YAYuTgsu/C8pL0e/2UH9XB+/PGI
-FVl3R9EP/P90s0nREQ7ZXmsFrhOJCI5TkYiDuNnn4Z3WQJrTXzb3o3BpH+Cm56WxJ77SK7BBGa0n
-hgwJhQ90FGtYy2/EyVsaRQ2+9+8iw0TLsOhqicXciQ35dsxyqbs5ayzvqk6PH/tbqFhSOP6M8Vm7
-mtN0/J1WmrQId7W3EXDFMHQ3SSS89+Bb6dN1KpbIeJwyxBxIohCWBSaZ2sylVVcXrFvEWxgEhN0F
-3xuEkt//5CsGzx/fUvEvxydTKWfQgo+2vvQVi1msfrFWA+OIhurhTv43biW9EZzltI1nExYv1QmK
-I/dZCSf1AG9bh8CcGExbEsg/U0DiSAj0KSg+Re4BRDuayVK9ifkH1f3U8uPQe8f7SPs5v6LAMyHl
-244bEuq4F+C+IKQIHKgod6MKLZ8wlJiId4yCWXk+wxne51bih4Xh0wyrId7mo9oZmii5NI5hQ6ch
-nmFPNzTA2leYLDailpe/3OuCaRrGN5eDD379xBMrGS0VsJGjLKabdsjZq9WxInH1tTvB1jHIARzX
-NxXYKUv+J0U4O3TXl6TVhDzee7sHHEW+/ZTkf6oExoIEzQ9I6pL1GbNX29tZL4eOIjXfYhGmdBRe
-4JZgnNwXBsRLGmRQpaUju7QPSBxCdUP30PDGoJPdzLV3wu2NPa/7nr35RJDeLzrx5HVPGASanRXJ
-RGps/I/E72hZQH2sJbA5LAzi1mwT5cIW6qywjicSrG7NI5Im4Egbx7o6vp3k7k20nb2NLS9F5E95
-3zIYNsv8Zlnz7Y/pqVTGOL+j9sQUFNPfEWavM2xX2Rx/uzEP9RNRqmtvpx0pnhfX65Dpf9FEtk6U
-Bt267xJLGOuj2W94UcwB+FdbAwxfEIsroOI+oXH3NSSIoTYkJBaarcPhcwKuwdKY0gBP/lP2fz4V
-FZkRqMT9QOAaYUt3f/FOSgPngEtPCH/iwKybFemPfqrYo32z5QSbqNwwMHaJtVGTJQFTqtT2tmCN
-CZGshORcxUouNuKpcUjf0e5dW5s6eDj/NbUj0X5MrmWSa36q8JmDeQe17qZnQO9CHGh7Ud40I/eI
-bHSDlzsxWC5e1WNYXjwO2HG2r7KEyxzK/cscSlooZSXUNA1pdENQ0g1suWWe0IEvVKKSWjLMjXvl
-iGjaLIIkHtMr9AvqKZ+vevkkeSjs2rVQSho2xmMEfFHnv4OXGyS2U0VufnbHShcl6cc7u1iyAFXG
-LPg9bowQbifLHJneTOcJ2hsilhCVA5ystuqfqxvGwOnlayf95u2sbmOtDki/1g5RrkWZu81CaLDl
-IEfoEr4N9kQ7Xo5sa3LMd9aNWpkmyGYT1Tyz9yCdDEW0aicoi5fpUdvp+gchoNgKDg3N1HZZLS16
-90roYPHs95v0t3DBw0nOp1AASrQEWweTXorVuy3yvtLFWmdR4YTSRmL1Do7hc/SivTEhXYMyeUVp
-aftwwWanOXqlrmSy3sOoSJkEVLNyzPL0b9BCeEiD4r3BfFWViQbyJUeVNhNANvokdV5PKW957kZi
-i3HiV93wfZ+X+34aw4SprIzRA5AaF6+G9PgCoTDqJOb/KKHoFo38aaVQoOpRHyTbwH59pExvBb+G
-IVIe+zYpN8XJW0dGI+4mRtX+8W9lbDclQOYSFp6BVrQxPurjmz4x7YZM6SLJU+lvOmSYNXi2RhB+
-vdyErrScgsyonxgz1jn0BkAR2+UtzdNrRT+GlTZEETjNh7V5GJ/dH13UqWi866LBGYalf0jogrTI
-8K6cuquuxOu5j4PXv8oyNe57J55ykDu5gFa08tpyZpfLDpJSzn4wjta6mu3N+a3ATlrTfgHkWeTH
-gF4bxdmk911JcBRoabQM7eaVAcyCVlPV+1xmK3e7G+aS6sae2ImUxWSVO2aV9aKlTZCxomCOye0T
-vf+eWdFh03sZOtmiANT+2d5/7XPHebTXeQloafKM8ApGEaPv5xLCbrElx3LDJ1zcnNRZa5MmPMLC
-QfLUywEhvg4Y+DLaTdTDQhWxYFHnfFinb7lzbn7zbjXIbbi9Dvaj7rshBhh4mU3A2gGjUaBK4hrs
-klZKTi11dpCxR8vNkCmrvPIJ2PcAuy3VEH0trRuY8jPKchkCeFaM7Qz/DI/xn6WpiGDUB2IAaBYo
-L9USZ2ds3wBqeXqfvE0xK+8+k0esghlLH/KmJfRopLUNb6FDdLOFsIGjW8iaq8HmbiDvMAXIaFDJ
-AoIp6ug+CddnM6h+KtpsjyfHhAZjPumTATTxx+bIBS7qNvl9Y5yyCrqHal3q6oRRRLjcLh5EWT5Y
-y7yyxxTHpE463lfh0MC+K2/il2utdOqldHNplXpcx76ISjiMIaPWjIcGNrKGwkNNb4j1c00mwGDA
-PSARZY5T9dPgVDih6iIfsUlq0A4I42/GjNGI35wGLvRkw8Xs/gA23R2IjRtujkcjKYCYZL4vm9Le
-VbanB70YrDAoJFqkl+I0VK1Crxtp+rupo47wgL81HOFAbcnSKUhpjjPcgcIbU8OOoHqw3wIJv09B
-Kse7zI8rAutoVvsmhyMGzot/M0cMki9G6VvDwYovmfP7qn3FQrtghhA3HBi8pkIF+xlvzFO85V/P
-N7XPrSUTx9WsGGPAO4KDpl72MAy9oRxBhVXLSEub40AZGNJF5gHAD0TgFUQAIFWsoXc1IXnDv2cz
-xFrdNNfuvBekewd020usWTTqb6EU2F/+DkRCi5EV8WWc8R537Z0mJqcBBUofEtBggxukEXM7DOV3
-P+mdW32Q4uj6PJxf+ajgE6i4uVYagGoL7ViR6MYPZ7RSYxMOvXjvwMZXdWa7iZ1X8rfXIe5JFrw0
-huqw6pRRvWObKrpDodmIsiKH8LpLGezMTNDyAEZrpMI+57Baxiwk1IkK2OoQrWCcgkPjU1uETFyg
-QheP
+GgecOQDGWGSrgSkmBNhGrQDyzvf9hSjFZgjQ1XoGERWGBNuavr3djedVKszWnmZi8WrmZdaKUz7N
+1LN0nNgMj9+wzJGdCrRApgtwRjuezABhpXCmvkpAbpEdbjWIyvywsewoUDGUfjKECahMGMQ5CLiY
+GaClUtAf/iGBKFLXcz1IfFy7xOih73pG8suOw36pFpl+9FtPTQDqQ+BUVNmA3szwXo0u6WXgsHXp
+ACsPmaX3IPhO+9ryBJL1rqIOTTqjarg/GBwmvw1xg6chUt/BcMQjoc7y0f21B86ijbRx4wkjtPpe
+cNHBEZW/n1C8B0vYvpSZJY7WdLvjyM3Vh6xLBvo8M8vbsmTlmQl/IkiyLbIL3ZWHR/LM85oZ2CB2
+llzSa6Bd8xhXztlppqgQ+xx57PEGXTOgA39qijnUgSpWkzvurnm6ui79g5Eka9mptCAouITZwjmu
+WFzLVVWEKP09hcl6Fhe0DJIzAJbs7Zr7HzutRq05UznBYs7QXRe3yGpQTZevQ+KbyBWJOZ6Wbd6V
+qpoay33400eTF+phPU1QXCkRosXTZUXgZZXhJxTSAuPlL2ez7wxAu81A/su2UthV2v3w9fxKjmax
+AEZ8dgowe9vCS4duSuHcYgqughPPmqHgRG0sPaDX0a6/wv06m0HWg64FspMXqX+hk2beMq3GKf0t
+eAB1rK5G1wV4zerBZEKMYE2OL0KO/1NmoXCuS1woExRro0SFbG5xSb/0DBPVIHihhsHzd1iN3KNn
+KvJNqXMiqrXZDalcJVA86EqifWBQ7r5jpbNi+XRlR4+2ZPMrdKthvAog648ydgsXIg7TAjNIAnx7
+eeJ6vGlRL2cOIY8EmGkAXxhgMbXKgbif14t/Lz/DQmk8FrH9dJI3MUav2NjVoqHytFRs7c/B/t/y
+m9IzfYM0ZZ/5WRuU3v0ZDjqzPUiexMjD2Dw/q5ZAk7u/jIjxTT8mv9xo2xGY5KxngVg8oml813SV
++VZzgfPW/7OgRa/r3ky5mlxxLEejk6IBBuJRjHoCHrprKAsLtHELqZLWQNdNGoL4HRgdtnXD1R7d
+caNH6Yx1GO8Kji6UNp8F6JJJ32F9Tkp8CeuyGZYVkyVwBlkPTpqBo0Ddq75BjrRz83QKB8cMXqj2
+vYNpV46ivi+xbJ9VC2OAt2EmkmtcWNkn8U++COWScDiLhipoKfmiewD1ODwZBGRWlbCdbo+/pWq2
+9DN7Dg/htu+FV4fEzQGjS2O1aYF3ofj9hqEZ5g6CWi8KVWLdfRR+m6Axa83tKz6X3ssW2mb6R/LQ
+Om/TqLWEMo3pwUhQwn3kbqteiYW2uuXHcR9DJOgwmL6NHQTrsteGLDR2U5O/CuSfY5eHPWsush37
+/yO235g711caIKCP5CjVuXaBC5U4eLDx9NvtntIvKxef8+vUPqgWPMrfi6eELry7Q5u8V0BEPJU5
+lBshNCrA49AqDtjgOSV4FsF4kgn3jQNCckWuvcFMCh02t//3WvpEh9D9e84ZcYUEqmWXWaMJIus9
+BxUiWANs4/Jw3LhhP6WKGtvQKgKj5Pwe+6vvrmlDf0ZaxGCetUI4j0VVLFa+ALNsZacJbk1AQAYu
+w9I3eBSv8LVACAu7NSySXIvK7tO+yEaXlpqiKsH61qQzKQBTj7csuLE2YrwAd+Are0z7ux7ZUlWP
+Iy/5i7ohcRGwwd5R/Mb0u7NUQz9n8j/gIomwcae/kWPDH1/O1i3rFs2+jEsO+kYhAwkjm+sBOH3G
+ro8UqDZJ8uwao9A8lGw3gphMLvdaglJMzTuJ90sM9ZuzZeYN0BUWNBTuw23MQRGRfReLN68XseyC
+YlcD25gTscvbUPa1V72K9TcQ5wXW97HS/XoB9wGO8QvuMbWjHpQRnAW4oqWtrAdf/v+yCB08r/ho
+91QIZ4Vd8paP3oFTg7onfoaf1AE5teddoHFZwrG4Wcu1zEtQeyVZg03nfqBR1Rk72c48I5+EEVba
+xbdASvqlyH/Gt42bctp9/89lRs6xH0Ea3gqd+qVd7dLSjBRNdFtC5YXT1BpDCdQc5qGhjdDjG0M1
+mmnO7lRgSOkTOuF1SiSG/6tVsSsrAZP1aN2C4bys2zZ8P9tgzIkLxN5vtNzigNMnT5QU/YVIQFrh
+uC+U1W7WvM/rNMKLaKpTUg0h24gMLpUuTvroHyVO2fPHq+dcJKiTXHw7ZnY/73pSVx9iPCRpWVlQ
+U0rMbzWbg5NVMYP16qQ51sIFQplHuDnDkVEKDqh6NZ9exEq5lWrMX4CBHgz1Gt7a2NnwI84rYmHW
+RS1qG3qd88heY0lQy4LomiPRgP8am2yrw8oioTcgyi2rFOPl4kAoQ8adzGG3RAt9AnPtZXO4x3mQ
+Ak8W5ihmeOVXFMI8oakXkQCljJc5H5gcRXcrqPcRRtYzuZaTLBaAy7QWVuXI73vE0qEENTFMVdsy
+L5tW9LkdpiCz63H5aN6pn3l1NgeoucAEKtK9Qct8gJKwWXBB3BPObbDlHEeKpLiWrrFHA3r+ZMqA
+wflFoQktHYMaFnQsY/l6onrsdrNNJrsIc+DSMKOtgeC9psS/fh49Enugl/GinEHoh7UUv5F38Ge6
+DX/dlZNY/AGBxhlDSI4iBgBKpQt58msBhp+drYJw2b4OwnN2ZdnPbpUHfo9g4GjZZR1P9oWsNY45
+kmI4K6sGH1VAu1d8bE0PJhwfd8CrwtkADv1V70Vp36BfjJ2Vv7b2Av8e/yJ68/w07wMRUsKmy8hk
+S1bptrdacrp4AZEGDc9YXultF5JpB7C4t031OzwSMTVTp6U0CF0iNfDaC8QijzAQDEPI2LV77ml3
+E1QV9f92Mkckfh29q87BkOgSZfrtljVSPm3z8trZ0euVJsHucWXQf5AwIFVq/xWEmiM23MnKh+2c
+1vXrd6wEiMUS7vbmzDIPbJWPnalSE8bAqIimHAGn2Q8EsLgOfgSAQcUSbO+jF8pTx+jfmofpVDds
+DcPn5paYqYkFv6naibVfJw8QiarMMP4Xh64eG+QFd9cu0m2IQm20Ku54Uq+6O0/n3u+mPqoIxzlR
+FKkBW8i+f+2Bi5DWAzum6ms6AFBedS4Ck83MXdThyi/qGWulmJd+KEcxTTbC6iFJOmMZUQx85nrl
+xdiMxZVWp6G3xg6hN2Mnq2S9wZ254M50dCwYJvvrcWhowZP+fjvjdS5erQUSYj4KUVPJ8MLQF1Ua
+5RPWeHLPMWaf0D/Q+SZZYXQsUApN27ko7hj4yCbykNatEE6ivygUk3suOOJgkpQb1WzUNY/PWbRF
+NDHAXBJP9TaFWeN+ZdZSewNUiqgvQssQFWAmsMT0CBzQI7SFyKT/YukND28KPGlbe4PrkfHE8We+
+X1DtEAQI0cLqgDwEIIw5aEREPG3LkfThkpshI0bGkA8OLEL/an0TVUYUgIQfIHluc1iMRa8pRg+N
+qnVBKLJoOcdZRJDbBPqYlv4+Nh+zABii2kL9EysZK/TxuZUciiOicXCkHZGWrgjbE+LNAp2Ns28Y
+WhOvmjX+UUtjWOXFK+ivmm/zmAWiHByrRsJteP7J/+YAurh5ugeqVA/8pfdWM8vASUIET5vm+llE
+py4boV74o+/WlGtibeodXPfnagFfgsIi9VkimJcQJ+NhPOYIYNfL2nWU2+faM4d24+kpb7OuXTkr
+ew0Ox+jrGQK0cBG6p55NgNdh552qnWSBSyOahxcPT7qyBm3wUZ0BZ32BatWTjoqW76ZV8wWHKvGn
+jOUZWraOOt4XiXUqHrVhY2iTdlHwTHoMccitwpRKD6QKCPoMs3lUSn8a3jwbcIXocPOOi2ldMGNk
+Ds+meOz8RJ2DBAeqcsYQZvljS+0KXfvkxuGjPX+CSovGs5SNKcqOXT1GyB8qnleD8WNR/m+Ltru2
+jCuj3MNQoReQ56j3PLUt1jq5iGhB+9NGwodwDaKCsN44AtkBDYPP2I83VIhvqpsJh8PkYEBceXXW
+6FA2KVYhADoGRU3uTR+3ykjxNZ7QbsWs+FVhA2KP4vBWfUs18Jvz6EItcer1D8ERlQ9aGs3fzotW
+I77oht5WppKC4hYy1qsaU14a+0/DkW4HV2W2+GgsZxNCJbZLtCPI2bTIu7HoBqOJfVenElAa3nf0
+jxTzOFkIo6WOfPSm9ci7My8mnhmNC4FYvsxB+KO9pekPgonMnh/hTInsvLnoAjJ18R8sXGvOVQEm
+L25BNnKpelTiGeH4TpVYz7StdVd3nPHiMtIQ4UyS1bVMcDdjU+m+TXk5pbirWN5Uoa39Zgak/hwB
+IwdnZhTE4IxMVcwR84rThQjP86vQy744+06THcqNSiWndKPOi0VS7PNW5tL5ksPc1jKGaGDkbjJ7
+bX/PNUKLfvjOuPWC/hpbpeXWr0bbW54DGUWFYMER0jgzCZKCHSsH3F+yRA+613p64gRa/fdjRv0M
+FVweQ+JvJCaYPyo+1lp7YIAF0p87F+I2lVlqNb7fDb8c/Sy3my971eTun3oq+p7CnKFU0aN4bQhL
+Hzug9PvxVUj5f/ORHRQ9Z9s1zep+BJwLrahtDSJfAsW8x4BmSxRZ6m1luOE1nRHf0qDLBqGMPcyV
+NTzELqwra5PSYt8JkcANuwioDHKwp1fKYbjaAwj2bK5Nvbtr9wJWF7kPPwu79IxseJnv8LQw+KHn
+gP+ijCu5odnkDniEFCCqM9OOFJmdIeni5VgGG9MSn9brbWaVzFlQkaM6VJt/42TF6ReRHtI8kFxh
+mIwUzEwpSoFW5gN6FLLg+uKluH2InnOzjGOoLtXw2YnK8VEKFEoThnYdIn+ytzA9H7xvimilYczY
+vkAtGbhsKHyNbF8wjCwuT99ycY3/ysCqqhJoUzOuTYfciyNDWnTcSkE2ciABMCrqJ1fI2YgAcK3H
+viRXKPNIps/SugWvx0VGXBC5P5tAChI1RdcQACo1P+i+AjIQRdt7uvCtnl1/k7bcadvbVz9ipmpt
+b3P7XoputuQdVlzxSVrU2pc/tIlhfeLt6uFBC0tKAurZbOactti+TLAf18t2leiZYyEIIwnSilfc
+kWH8IfrBAqM3dfhZrzv2x5jFH9KtRPEUxyYBf16c0vEWEvtgalQaxswqPL/k62oIm+ESsUPCyyFn
+9gSkOVwN11urDaDyu8hj2ks/iyF9Jghua36ukKoRtZcBtsGs+G/PU9vFJujp0YmQVE3c5fwBKZI1
+qGUk3DHpF1q7cz1zvCgq+1cuIAaKahzwdHfp0sod5QT73WfO0788YREvLd/OHEyhB6Az4oOEPzq6
+x1sg6aJeEBK5aPKdqX0pxvBLTyowaRL5lZe6bJhUHs9AzdoOTqmfdc5SWegdoDF3vhroNAmMSJCO
+uxLw5VCPxAl1x9sc5vnJijEgS8IzuQF7y5mLy/SMbrIbltMwIUjrAIRhXCpkLaCHQquV7Vd0dJy1
+3N5NTJwaAJAPOpZohJRkKBtuNmm8aJUIi1a/jPLyRNvDjEzvd4nUBIzSCNUdBjmJj9FucK5kyjFd
+qdmVG1bw5krKYkjQ96QBvzgIv/5l8Y1Ur7dZS6YPUZuhJyV8ipNTtAz1inl78zVl/cXShT+zfz2R
+CyByy58yseSCU/ItRPzOELavn1zqCLoiImjAxHi+aTuxwMFedLxSPUD66XDb/u8jiQl9KSCCVjXP
+c7axXPTh66sWyD/XPUFrpr3aJE09YSTWZucDnBvPVrkaRRa6GT0TwOjV31Ho2UrvFBOzl/LqJ649
+J2kydR08PEmw6qO1xQR2tUD2eKCJEx08PjeU/EBORGrbNr6i2NmO2rFMyX30qz5Q5PC4fLHlawWq
+9uPNncVm6Ykz+VJVw1qxpQzgnPai/pL4YIxOFYR/jfttN5zI/6V9MyqeTOe2rQUa3KrSVr5F3GJN
+sQ4VzwkY9wzpU0ZUsAnXPc/m8Z3oMGDe8omel14J3j//EKaNG7JV2A0Uvj7V0R8XorOhzTcyWyMd
+lrXCAOarCkdpl2lQio+pfBRPx99aSM7HQHJWbIjtRhM4OpJ+8EfLFacxs+hwKvVOgibNgGvAwwh1
+23MFHVEhHyI565gOm/xO9Y4Ek6N3/AZVHkk7Eczy9qUpBNDcxhBy3iCxGCgkAloB8cPoMIb2uEwK
+Wl7Ok0byhezVl8RVeSYJWHhiIDbYrLEhiwA+vRyf3e2B+cto2wbW9T3pMbCyX2QpHk0qDKOFiXew
+VkXe3ArLJcj2f/BzYzwZkRF7AlwlHDC9Dh5EQ+3aa8+BUDni8gLxR2/hMyC0qVDJDzpXLN2E7siB
+AMwMjoqS45rufgNyw8xmUlrT3JMxlxFtrp/8H/HkKjEi8DdMBxlFvRvzFKRIWrzadnRtdgsAtf1i
+/mEjfamMgsJVOrfWEIJq36ai2SJ0+8mr7wRr9tJXX54De3fQmoxm5iGYuI+B1fLnWe+ojpq9q+/h
+ye0kps8VsVQixKlgcuH0NTVzEwWQpSDORv0L7HDaDfUkx8su/NCzoAF8ZD2ralQ39FwPmMKA/cjS
+ZsSKu2ajVVOaowfkQCud1tuxq9INbtqtoSQKM/4b4xqQbcOKrAMercQmRwchR3JHumFeN9Urg1y/
+FGr6/gIsUvL2lTVqe+/CsVeFmak0OJ5vqCb8fddE2Zh4F7Oq8H4CJSfnfkIt+ol5u5iO4vr3zElO
+do1hYNFV98pQOSCtCnSmdDo2Af820SlDLGNfURIrZAmBtYyiw/X6ThcVP7W1o3vDjHWxr1dIOu1E
+vEAPK1uhuZErC+/5sL3ZFy9gYHPHmGHKaw2hiRDNrgEatKeNC5CwPqPhwbYpL50JU0SDtvQCCxLR
+70nmOcwmFbJDwBZDPx6miAo1Tn3ulBS2X+i5fOXOJ+yh4+9TFOM11XFkba6dDWjMnvgioEC9ljMf
+MOGGxDsBV8FiWicH5r3X9ZICnyduy6tEp20h2+5kThJZT0rFdmfscKlSbRtwmyMohQSZcX5X5p8J
+FEKcEyUqeejz6rGkVNnmD5EXCoOSpb2++2r1w81dRSANIhVfsb6nCJCoky2/kJw4s/eefCOFj+am
+vrr6UgPnI5HxnnEXN/jcwkZAgxnX/UFarweSIwze0V+K5afeMHzUvU6VAh8nWNVoNswIJ3O8X0sZ
+AW0E3AjZ2JhT3IRZNfKxcMDRwygnKAMANvL1pvdmrcFyJsQd6KzgqPWK/KFF/tFYrAfTHqq3NA7c
+YqRAYyT62xDDIan1uKX7R2/zFn2I1hug3OvUwxSM8uN4+jZ+5FezEut28A4R1vcEJ2ZxlxRXFrqG
+pofnI249SXCk56FuOukFG8bVEfpG7Rl7ejMcGdOOgbqY4JaHiIjijXzkgLu5umhQ4pbHZx53pHPu
+rU/qzwSmKzjbleK9P63bC6Du0cBJhrjHGLlh0JyMZ0p9a4uCugey/WQQn9WKIPvgGPDtdOoTY6BU
+EpWKd0ZaSuACYD/70XwTjDxGPdKIIb5oj4QjK6CbGILVWsgdigcGQUvIJL0tbX78mq7HNv7eY8Ug
+zV+Zw7+G7IbZFRkMn4Pps/BrH51bTfKnTXISPy63f+ee6Yd8mxDyAQpYPWivWgNL5+NrxqL0hs3k
+yAnJPe3MJTS+x6P2zmbFnk8XS4dAitV4SwqfAaQiSs94eVlEwB3e/1K+IIbu89YCM94wXJiXupNh
+uqymphTCqeU2cjjfAM5IBLWBeAF9NH8T3d34WfQGqs+69d7c5OgGfFaFiwRSEuUwXOiuhUzEBAtp
+McwEKOF75zRTXaqVdLtXXiCkNWVNmHzw8CqfDeIiJbmSLgQQ1XsIFs4sMBbJe69RVNmX0sHTS9p5
+3OTfAQBsZRSxhowlJ6rRumXdnx5eFpO6P/ztEzw9W9NzwkyTrJgOV/ZXxAn/u5//eHApCKSKvV/p
+j68P8q5a+f1KmLvBj6/tWEoVE0F/o5jA63ivlLucwYo9iCggXgVO6ikNeRoQU2/O+IoI/9K3NM+d
+k7w4nXMglCm6V+3U9V27/Ly8mrHFQjK3/CVtv8YqOl81XxPL9zX0mU9NnitjO3dfv/vdUswpQjI8
+OiRp+Q/qqDMGV3wUzspYirPZkuTrBlOn07mu1svXZgouSEUBgjhoFNcfxMtsTdS91G4qTz/pj5Mo
+eKLr8KPWwDqfBP1cPSg8ExcrU7KOFF30/PhBsAnARmh3FGW61M+j7etRCZ32TEn1vAMP43ftQVKG
+5Hk76S0WxIhaMZxvC9r8RWvZLgdQk/+KSYgV/GoPRNHJagg5PMRBj1p2r+pUpINoXKgx3fTdjXUk
+xe4OLVPqpbAR2FAHFdUEp8TDV7vFdnqKXwjkwlmuJ3qcUITibTMyeWTIP24UnMmJdBnHKkaH058H
+0b4VcfMXJDJDLEZlat5WUhoWMBmxEeZ+Jp7TBnkh1K6akbc/FJZ3+E1oWUV6jkNaUMZ2ElZHGA+z
+2j+9OHbepKgIJIhrFLFQW/ScUOSnczGXoCrHxpEVeM24loUK7nzc1vILNOZGm/JuZEUiNmzyov5W
+NG1E5+tRffnU5C7RGch49mkismFfIEXwZni51hNwAVEulkybvgfGEC3ff+yuNmJYAs5GX5bghnKy
+M3FIW4fRjzsbJbPTiX/S+0jKqD+7B9MPTeKf0L6h3RK/qq2EaEWLeVr/62ZdXjptJZvVv5Pfsf8H
+pwwLx4qSFhZWTyeUH7n3f58ikuQMKBJPflz4wswkBymk6arXWiMsdIpKyXDOw8pcH1QMvGKj+QiG
+m8tKdqVMF3528/DTDO9xbeIHncibCPmfyixHUWITw76qoVBft/ePYbleLSNKEOUEjxkCfCDZpqLt
+HsJwUuS7JNtqqUKNYrKEtFRYe8Xivc109RMKJl1LnBzb/teZOEe7k1wkPrUEtP/i5LyiK6tpWMPL
+hq+I+tMzAv2i2eKVHwMS25qUGj+cunvFElxsIvgFyaonYBZq9pgoV2McNNHpLXuNjGcuxIL/Dvet
+RVsf+Fb2NmAcge1rgW9La8sgZARiLe+vGAkmCPBATRSVP1G5AkuycfcjfzFdNhSOhWV3zwFyZvOr
+RfAQqKEFYS0D2cDtKwJBl9fYwj69oCWn7fZ1ot88Cv1iTffhULBwXDNIiT2nprSPR8stj4TwhQ3O
+hvBRt+Ty3logciXUpmSdtFmJ6OkMehJvbzepBwVARYvM74pKxYI5Mjof2IIokG1/jPY5DxSJ7cxm
+GiZ78dSDXrtQH2cLlpYnI4TwNNx1rUNGUv2KU2G5/JYkibDHbkXyGs6eZuevaHSMZaz6JUDS7ZyB
+mITYpyvFQV5QgIXe1QqzraNMJ3hVCMDOSzyr+8Ce+Ces5AejtOhuplQ0Ez05oUA7Cueu55tk3KQG
+k0dvdFVhdqJw4HYdAZS0uHpmqJHTfykKy2rijSyVdVyFpy7xgnRuf1bZdj8cyXJ787vmCUecqtmz
+sHXrlNfXZ1Mih+S56SFxrhNR05QUTE5XDdVoo6k4wHsgUp3gRYyNZGsAi6Tnrmj3fgySQKXjSHQc
+xBxQnbFg6jpfoXixwA7Al0d+5q0C4fl1mEA0re8hmgyc6rTOyOYRnCbjmJ155scOnd8uYhteMBNd
+Mw74agHFcqI/dEjtECMH1kJS4ALTZL5E4rlKHQGKKw8m3V7ax4St3E+feG1DEQntymkgislPjIMc
+WpG0EE6sRHKh4fNMpOLS9QxwL1Q+KLQJt8PRA1tHJJYNCAzyLeBBs2ObDiunoVb0673qa/+A9CNJ
+nMgbt6x8Bq6Zrc/0Z8bBvEEu8kV7w+04foYzQAWZXGhc+aTKIvuFeHV+aois18XwBeJSUNo2z96G
+kQbNxMzcZAUZD4LEhJmgvrn4RxrW46QX3iFhUiA2o0NjJ4hMl2sirZKwMs11tmBPCef+pEr+O9XZ
+o08ZNTJh4tFpTHZQK3dBf2LIC40WjxLCPZlJO3lh9F5nfwYobLFNJWNw7F8U5Q8dMW5ZyAmXnKkU
+y2BKPv88k1G7VoCd+IeE6b38xSwTU0UTvtuF/8Mhtl+3Ubf8dneXq5glhiD045wJMX64l5Jd41Ny
+3No25tnsR1mYTst9S1DCXWESh2BZDAl5t+mS3PihNBxwSyIGo4K44N9Vmee5S4KtXPYToEU2LXhH
+Px+zRKm3+NueILsUh+zQvP+2Z7rk38b3kUQXMl9Ons90tghVRhexK/cRIjERtkQZEluz/GwyGmbC
+ARtFNNC1Z+9cd8BoUiDN0gc+IJkMcSksfB/iXR4SN89wYLoyeJ/Izsa1fgtRZd1nONYOv9WKV4V1
+Fe7dHq2WBa4PjVDcGeNW+TOLMrWhuWP7JOnbhOJ+i03tE/0T+1e33k5HgS4Bqw3Ysm90M5yeGBQg
+5S03V9o8ibe7LtZ8urbiDlqNMiTmGeeimoV+qsxSxDMUFCkQlziWSt8W+spTBJAIc2qKVKIEpQrY
+6U1jKCRxpX94BimHr/AjJgqxE328ao8MrMg4dErPmpaYEvskkMaWMWUPnFedkxYA85nqzLl3NDeY
+PnxHZ7HaGzMrdY06Pd/O6oBaqeWZdTsVB6HNBE5ek4ASnTsiuh3YjWBxLTa1s/lXkKoEU2CmX7sj
+rBZNZXgzIqKnWI+PUnHg66zHQybjR5UHrYQTQFqIpwxAA3O2I4MsHeFvlAqbRacN1snKcMB8ssy/
+UPq7FM3M08k1Q/o6wP+j3OSwcy5/LHDvy86C4VHB+x+9FLdbiL68r6H6plYBN3G2GmOgCLIXVvt2
+wkv3RxkhkCY5s7+d6Gcpz3pQtC93rLGCspH5k4/u1eh936ciwoCO18xvJl2eaOBmHsrLHLPLFcp+
+T7kYkYMUmOBkGEEPdwoFk2p6j/2+Pb1T2che/LU+U117n1mHaEh2IQJpOqagObqO8z3a5o4PIjyn
+D8jsxXfbUoC4LWNl05k6Wz2z+SnAIkBhzHMS7ufKUFxQUmE9eMSbpM4SsJTDWGPTQCrmISepxrmo
+YlQ7vtLTvOm4BeqvBSOM+mPO84ZL46+E5xlq1U8HYlfH2tZ/UAa6hdyZ2EJbXjfUNCTSwZlZxaCV
+f8dr6hbMGeoiprVRis0SgYPGi4kG81TnIWr1wGXLQqVIMH2nr4TMqhyOgMwYrsw1y1DOm//aGpj9
+M0WsnU7FmTbLcYsLkSYoNlDisehgAIBgeA56buKtkmvcQ+Oq42XRgRPNj2CRewvL7TOpo2F6KsRO
+7jWHj1uvgTaOJjT7JjfqB0akbqF3ry2sdHFPVZfaZU5Z5hosrDGxETyFCsG9ru8BvQ/z1ugieQC7
+2IJZpwzqO9ebslXEMq5AGCBLYFsGtwDcOCvMwVQAr2SfWTBEZxjJFOR8t3jy6uF5G2f4sNCnWeRU
+zASz5Y2Yr9APFm13Us3ZefWPhv8d/3Mur1wJX/9FmefBztaDZ6wr73NrbLdc3A25CkcTiYKR4+X3
+mCDI51D+H8rcBu9pV450Ml2IVWYNSn/+XIxq9ucmFkMYIgG9wyXquEDxCcZtrDBadr0uuZ4aal83
+DgvEE80/g2LbjYALhxlC3H+2Yc9E2BAtgcWhCTI/F2V66sFlFXCwmdfGrTuMGWfwGIefztZrjFj0
+KnmSJOqtYyUX2eNyL7U0eLC0dug1C+JFMuwTX28SkYuq4kAfYfMW0aL70Qy9wKJdbB7DxQ1xFQ98
+msezGubFyOFYFqAb9J1z27sPftC3IKMCSiJ3gmTl7uLRopjI2tMuQ1mjUrfsiuGcgJSqiZ/X8IHj
+633YziDOwqVgkZ4P5b/UxVHdOv/aqdnvpD1MbpNI0zWRzOH6R4aNmaBJAz6kCpJo2leD0pVxYbln
+yFU3EQ+tB5fs3F/gqYrpIWcn1yNxlTHQY3cwSeo6K1RgsGuiWDVyAd+t9vergL83Yp26sYJlLuKp
+Bsle7q3uoS1o2Rsk0zItwjDOu4141LqB/aRvyJqL5Ipg++PSpdXTZaAtSBOi+3llDHHVEq1aC5Am
+ZwoH1RvoI9Hehp5vxwr5V/vuEHXOeWJSRddQvTmHXxtblKIfawxzRFhMkRAEN4kL7knZtgpvEmJA
+SCWfFdXa3Dotkwc6SAKMjKeiRkPaL2O8Q8422Npmab42UvaOFKNDMlTC/9VfDV7DSg29S35GHmy0
+hXR/5yxM4ap1bmwgT0b6y18rHeom3Kmg9oKMOaFOQRf6iBlz7kGKzG80Hj1TuR6vqw7HpyljEtOp
+eBBHZ8BaRSDUGO6VDxj1OD5mnDMg1Nm2NeHZobrAWcU8O5E9WaRQaBIGCutt/unLdfQSbDpgULKv
+OH6QedgoH4DMusNSUhkQud1ih4PjyFqpYrJX8LE9TFx/pw9siHCaPhKiJIp7zZzlSoXMNaBuJhtc
+jsZJrRZ/fpjGsYnCFYclkIpR22RUkEmp+Ux/8cOj8wszy7ccaUfSWqTllpSxupHpyqBDRk8v0kSK
+b4EVkBZBeX00wxtErQzACn2hT13/lWUp2g7k5Z9YiwPOTN/+D4RVk/odPdDptsSOPuwk6aHZRrXB
+gjFXxwNrutkqsFGnFg9gDgBjKQTZXiPG/LLl7dKl/JZJaVWMVTyFyv4Fghq68UHD9csVH7rQ08g8
+rpcrAbggoGGXoYCrKQ39WHxppA06LUicOiKGvhy8f2Yc0LO6iW1O1VsZs+B2zNa/5m6yh0SoycGQ
+fJlJOXXPdTlUQO7BkJpH3zL/Cvdc/FEWoL4M8ShMfE8kMV61KSUtnAkc7nc2JJ2Qb4lpqRK4Ztei
+7BarYVrH/7Bay4jCz+stQbhG1/Kw/MP/UDsT6kMNnJhYSNhpquys6wsFPW1NOTr0DDt9UEI6sgf7
+Nl1T89TO8LbDrnYOiykUSCAP0bEX4A3DOUZP2bVU98yaGVBTy5UwDdRRJ4swsNQDtln00tM4zGLa
+LseRSnappGRfq8AKw2yZJLlwstYKAzmX748uG+ILa56QHUVifyw5ZlWmccf/DqOy4vyqfcF8Ycpv
+yp47t5pat2dsAJZ3hdrZQjeXMgikqQ1YKcIWXneFsnwlxKohTi8pKgpJ3WTfdflWOBsW5LWxk5Us
+hk5VNOHNLbJXDlvHd2sWTQu3+p1Utr2xo6cZ/NtTRNCDIMBpmIyIb+cM4/tgr+Yz37VhcDqshHRX
+Z0P1unVKgMQFfdoHiqk5B+oiJjRLjODCplxy2OulVNJ+Z0T+geYIZiQsV+9nN9exNHwOOxPkcMY8
+8ebnwye5P2aupvM+hjNt84NtrPRP+GxebnAYVgxZmihTGwG8QCRCXsVjMwcahB7ONfLl6QFymWwW
+TbQM2ES6WuaUQSqCAzct1WU5SCn1FENjNyC+Lv6C5GKf8ACHhoFT0Z67c8MNyUp3hLveZvMZ2ICY
+BpkOjpRGlOkptKHjl03e9CoI5X9h/eQZQMmIPEO5BeBlvpivGzzw/I5iEDN1SoaoN+LHBXrvop3Z
+NQH5gnwMgRx0hhj9auFILJ1FY2ExtDX2A33McLWd6QZ7cmI2l46biLYNiPSgfxgCW7J/CaB9o+7+
+AYA/XLf4ZMYfiB2vYCCPEhxHxzeSwuXXXgo9h/2DPeaQi7Ywe0e0vaHEKHTOB8eeKgixRQfDOMiZ
+tN6IYsak4bsB4E3FlXa8gu+TvakeXXXNzQpPQeSA0P1kaovtrOMCLwD/OWGHZqBb9FhIpSwh3v4o
+vIyZCHlt8M0be0wNxxTmzgHnjgdfuOAQBGZF8jP4PsC1A2RCJ10OZoamBg0viVYBoRPJ3aU56RuH
+KgsAfWOfdbyUbWgZYNfi/fotxf2Gw3oR6pIXhF5gohPeetGQsK6Fkoy/3neAEXJAKHF7QR2kbWSC
+l8JSPLHUvACWq/DltLXge9gRLK7nOElx3k9QvK/8gDfFDg2vYv5lPV0Gyo5+yAjB7rw6yHtcytyq
+Ls8b72cu41Cd1kmQ1634uz0Jx5TwI9/qXmEbCxwwDlWaB0dtm0bTb4nhqUdmCYDEVfqyVwrwMRUc
+n5mUg9r8weSnHkFTKNXVV3FSjAwAu3QZtfHrw2WZXVpMXcJ1GdC394amqnoTPRnPPSvq1/YsLgC/
+s/3dJ/Z5aAno1oaZK8qCS0ZYHqc+eLEMh5Tkd+rzZ/Lp6QLe9h0kR6pOCdVlUI2a0tzq3K3Fvta2
+rG0S7bNDLtkZkwYisyq83acdEywojongENFSK8Hd7xIa/g0CeinRXh28mseDUWbC/MLHi9svWsnL
+Bvn9FzC5hiDqzbzcgl+AbZb3pQ50laYtAUgBJMMj5gB6U+reJyXSMl98nq8OTeHpIRwoBLpQmcSK
+1gzAskZ+aGrJleLGvudwNOLukIvQG2rjUwo6vyIVKRJM3wAyHU1f75YMRWdGH9afZ8c8o/+WaCgZ
+Nr2Xoy7SE8tfllN5lMk9qpG3RR9kKoTIo98w8ZNFxQbzoKJTw4Rk64suVL+99148wNBctQ/VYM4r
+fzXE+MdnvgJZF8bbnCb5zoqL7VWr0XeCuE0WS0kUjQQCu8eDK9me+xYrWDvhvU9Qk7nus/qW5WKb
+SylCIwr68tD/bxrwkJUoyUMDA0hv2xyjyHCdT8nHu7ZKmgEa8T4UEh4/AuTyLc+7sEI+frVoimIZ
+oqH2qWf+Jw+6aJCTxtNMsCerCsxlLVjGI41xZd52bH6sztzUwpZpazp5Jqy9GB6kUtEyiRnyhF8U
+551Xrik2YxwqcXaL1vf+j4MMmZCoVds9GUxU5i8GeK0X8rbU7kjUY5mGQgggrCe7uCRbEACQMhwC
+peUBd80WWiV9kKGDD365QUoIEBECX2zyy1jl2Sz/FxjzjowRj4ieK0HyCyIIecZAXVI8pLIn8jBX
+2r6FV5D+wRmXzvoD6RftjPrEBl4C92TO/1Znce2yEtrW63nRwdVHPQJ2ioXQ8rUlgsEI1OAyKml7
+1/1HcdqskvYix9jQIVikLf4+8M4JHkjIUXKDFUxBte4PM4/dvRQj6Zu410csbJzNCmUzxXcmoI7X
+oS8MBKsrZ3N1GiZm7OsM8KjHKIfpP7a+LrgkfgfmXxYB5ZN5dy+6AyMzS/90ZDx+VCwadEeps11W
++hbSSajx0q4P/JRxMNxnhHE6irPvHx74kOXuL9FBKIoEmzc9moWftWF+bipzSpuQMM1ox31Dzybx
+PTPPwGzr50g4RJdFjdexlUNEmdBykTNVo2dO9qdy23JxAP4zjonx/fQR05Gwt7Ydsk8iI0F9vOYg
+7vU2cfJjJTYuUn6kq2zj5TPuJHihNn136tVPge5wddXriOtXsFepGh2G3uUAKawme/LWXRNPg/SW
+JgzHvdp2LKQ0FTEstKKRwvCwOO0p8T7zEIOCBmvzXhql6RyGtD1mCl+b0BvuhBdXHDlRFhBvf1Pv
+VumXYxh+g7YCbyPeN+G/qUWTgIrfL6g7mEH/nVWcdzOVAfg7GYdYKCw75SmsiEES55RlkKr573bb
+twR4r8AkyewqKncAYP29bnriDFti/6ZHebwZ+29JLRVO5I+reL4YHvpIcVz8CvxseFhqhBSqr3H3
+akiuOwsFyrF9zFKk7azaq5Lqkz/vVDFXsExoz1JMa2eNx3Ra9TZgqc4azZ37YzSIgbix4R+ftaca
+pZJb+IU75dKGBoiY8dcx/XzgPKvPPxI0LorRw5TVB7ssmDqaBWK12CLnCEtQm/gOj/gWOKXK/cQI
+8IAmULlsnJScOZC138Z4BcB8O7PPAMO1lFBzOVTgsxJU4QhvrGGvMiMohF7icOdu0hrPNCHZ45dH
+8fqQs7bMpX1pq1lI8VUlg2j1iG5PGbbi1XH1dgSjw8bWNiD1Q8/lG27RWK86Z2kcu3AAUKljMX5I
+nSg6Rnyo6D9kzzt5VLgIqMv9LVt08wHRNBraIhXEKiDpQjCVBsRrXFnPoKnyRvro3lB0bF9e0s/1
+JngHezVjLnMP8dJxRPALqtN2ESLRRqAaQZJSd5WqRW7nlrf2FjWMgcjhcMAWTVJeVnfJa6WhJI2B
+lUx1NkG/0+P+nLjg86BbV9iVRPUgtPbB7BOfQiG4MmzQCK7Tv0evLSvNMwpqECKMTBUw9taTXbdB
+0F5Gt3hi3STbF2Qkg7MkMBwprRjXC/gd6Bp/NB1airPegO44VhOSBPPVrbYZOZHEWVtY6OUJIOEk
+ClOw0f68n92L7zQNoY8e+qzNCO32kia3bL/NpeAUX0SXhKacqtva1/Qlo589zc2McPd7PUuNeiEQ
+qd2+r8r/7+XG3FnRiN/nfzIBU56ysCxZ3u1nLYC2WwxDDyIV/d9JM4RTyJ/LaLNjq9MQmE5wm82V
+7iwLWRIoCAG0Z40/cm58iD5AfuWZQVsKzmV8kuQbtpgX3ZpoK/DLJnRueLaNkt2+Fq3tPC0nnO+P
+inbiYdotCyb8BJ1cI3XmjtqGOamos8nHXfc4kUkiVCrLnHapuYXJi59xUFO1rOFlaF7bhvPJ72xs
+cVenP7+H/k87rSXvoPWVNMkGDnW9pXhVh8mMOynB7WiLeQpGdeedTHJ1e+tEC0zx8sgGoENTwcN9
+rVpCCItm5ZwOroIldIBVGgDxYcgBVwKHgyuG+5Ck4arrpx1jFqNKKV/6LbAZaiyB6cbvYWhV5S89
+0Y1sYFTL5EsKGKlVTeFY7UoV4uRoq6PK89yMk86DGJW4Rzf5bRuozbKiuC0euoDIGVYFMP0dB78H
+xAGxV/J9TKWbyZHiHTeuMr9lgyPLrSAw7sxXbpWQFz2k0jGkELIIvvpscY7ERIV8jKtVIGe4XlQk
+T7kQAzrcEjRBLGJ4tlyS8buVjw/5M3CXsCuS1kVYOUX9QSuT18eWr6q5dVRULyr5zGDg5xkQgHLQ
+RYxAVSeFJBaPdwxsQW/NSXpDVWruuGC9HRFvXj7EWu9B/V0YghbanvLsC9qLEr1lvvaslicDkViw
+NqK1lW4e+4g7m1Tn6LQUVNoYVEQHkUhkBKy7WHVXiw5s15N0lFw18qTpBy8fUoRxD/1ViZfxEXJK
+q/h1FzdO/aTB/Qv1Vl8a8yAfIuORE98rQlIR7evivMIIV3Bu8sim5r5I2jaB8AlmS57Uuu7AxxdT
+umtvlC41YB5fLDFufCzX7rld8eMhVQW1FgBuPG3qEY3kIpcv4mzENpe5KRbvd+LeWap+5QbRkXvJ
+Td6Jki7XCI0uuuNMHxV72fciXvgUEaHePgO7+j6DA8SaDOgF3ZmmVrYzOpZFVKUg29KXkGqduaN3
+kV49jBkhDRESrp1Sflqyy1pfXx5/VNQhjqOQWlgMIoJiKqD9Ju8vgR2IAtyA2oSClwce59FSkxPC
+ej+ycwxR9MH12Ut/7/1z4fOSfSEf/LzdRxD5nfI0ml6w91g/HoO7T/He5L5nx59bn6+ktZe0JCJ2
+q62VS3wb8zseiGpk81oVwrxmgp326GRpsRo+KzsezpM171IdD+2u/mE/cKDyN9tYLC7kvZFJnSLX
+ZpFikBsEFr1Vxz+fiEGLyXKaQt13jGO3fKet65SgB18LJCNmaYL0DS0k4qLGOhvctFbwINBS2SNZ
+tWK+ct3wvvjlD/Yd1hTNeUXfsYAeNEACxUJhNkKGQwsTo+hqJ3nGyQ1fVA9jWq/0jfIabLiZMgip
+44+8YrfwcsaZ6AJcnFKUI701su61zWMLz5GyXGRwF4V3uzrx/FKctzEpCEgBKyKmHIdDoHarN4oF
+kutaIo27yANELG2zieBerv4WlLukVHcHbDNBVWbWEX7O2SjsjLbwulDDXl/ES/wMd74NkDpnCFhU
+LUXNBVt4Tjk02Tqg4IFTXpmrCb4BWiUtB77hCFFMn8g11d5Napu4TnTBwv8b0tfRiOmEO8OyZL0L
+VGKfiuD5/jMh2Mb4ooHf0Tj6onsyD8eV/+cdVJ/N1xB6aJRD4JYyHMU72gHVOk6TZgNrDa9d7/uc
+i54oGCKqs3+XRJj3XvjeJ4ZlzbW7Q7aB/Zntqbyi4dfwU/+2/zdM9Y5V+DGq90bpczC+sylhglRo
+dWqAb+tLRPOTKS2StLNN6WNFo92kMwklKUczPyN5NeYt9zxljWojP6MY6D2CJeJZa3Juu5hNrkjz
+9kz0kip+2PXZ3IV0hQXj/uFfd03AWqT+wPrNU6yQzaiwiEDXZHmCgdnSWqA/84+k7IlmBhlGsluS
+f14y6dcv9EB+zdi6GW/gHy48naqdF9GeTMaoqd3nz4bUP3gsRayTMAT3Avz2BKvGSFyCmb46Dwo5
+R0lHpCKm/wxfd/Xz9G3LbidDUkxo6cJYxl9PQBbkJp93SVHrBGXGD4BcMnPvzjqPb/Emj2StL+lV
+Q4Jhea0fnUmIdq1/z7sqMcAIq4tbt/r85hNxLHqyI0lZESW/0J6TtDdQ2f1LEOhxEvbZC6sYBkJa
+xyzS8Z/nEC30JiX+O97yAtQpZjkXEw09RXsWouHkaT9TI0BMhJrhbGa2PHkU7omFRUHVSlDuVUqU
+J3c9te/nOdrc+K298gplycTrnjb0oXFy3PcfwhQAfs3UvEDZ6NWCAzQ3Sjtt5KQx6vpqgPtNMahz
+qFzk64Q8x+OnwlAq81n1HbzkYV0mIvMDwjoj7gM7LEEUnWko4Whr5Luomr8Bz0gCu6fvhAvhSRrC
+ddzy9BCT7G3wcIu2Rp6B59ZOprCmqCmEdHMsgmNFhh2Z559RT8ysUQ8oW3CHa41bgeKV6kdg2QU6
+gCrquvAOJXVMs/TX5HkmVCRQ57G3ymw13AncQGbU6KNGZ8tg6/Q5gUFQv3VwsxhnRBwGNNxbmRdp
+WHFxfUYRncrJTLXa6VYWsWzYqr4szH6r03j3soF2/yKe1ZqRBp2T88E9Hn9qB6QWIX68sCLEhiKp
+BJg0IhNclWCwSErypUfUC5kLZFs4YLdnsmUDJDIQBb4dcozP6G8v7pftS1d+694qvS59SDqlz81t
+rzdhY12tSerJoftNq+I1+189aH6ebc/36SMpah9NtK/E7JPVCEi+l0WBrc7BLnZJtmuVP+CNGX6B
+RHBMeP68N8cYVy9xmdox8UBefzhwaCyuiNu5KQuDD0zakeBhO6VLe5cMRC+MjKFqTAwT1OtZpDub
+1M5yWlulb19fvStORWE7h1g6+pucnpiy9B4/+pEnnswrOqlYonxpARnlJTDQY0JUNXDGHAs/Gvlu
+VHJQFnJaazDF1B0L95Hib8yT8VWNeZZyNwtiil7dq10aaSO9UNSTE5apctgoJlN4SujNnZoV4YxF
+9zNlOyh4maQ3GxGphJIpu/xqZJ+gpvqv0sMitObXFO+fYOvQk7HtuOhv8Hvb50zfTZFgzHfQqECu
+019uEaB5QQzCubXbG86AIq5npRrhKjKyzd6kwKbEaHJYhH2lzbokC/eFt/buslHd/PwTJQElMAiM
+zqtggvFpTAA3z1CRJsHxzS+Vc6XpPQyMTOlqMg0+Z3zzOtBzj4CGNYRa4IRnp0B92kuDKMRZvDD5
+JY1wAM15Pdcs8BCwIIZAbqanmxxOGBKmQuENCfljbGam2bX816tnTg5XBg==
 `pragma protect end_protected
 module FifoTfe2Bytes (
   Data,

File diff ditekan karena terlalu besar
+ 176 - 176
src/src/WrapFifoChain/FifoTfe2Bytes/temp/FIFOHS/FifoTfe2Bytes_syn.rpt.html


+ 3 - 3
src/src/WrapFifoChain/FifoTfe2Bytes/temp/FIFOHS/FifoTfe2Bytes_syn_resource.html

@@ -31,9 +31,9 @@ table td.label { width: 20%; white-space: nowrap; min-width: 20px; background-co
 </tr>
 <tr>
 <td class="label">FifoTfe2Bytes (C:/Gowin/Gowin_V1.9.9.03_x64/IDE/ipcore/FIFO_HS/data/fifo_hs_top.v)</td>
-<td align = "center">37</td>
-<td align = "center">4</td>
-<td align = "center">38</td>
+<td align = "center">43</td>
+<td align = "center">5</td>
+<td align = "center">40</td>
 <td align = "center">-</td>
 <td align = "center">1</td>
 <td align = "center">-</td>

+ 1 - 1
src/src/WrapFifoChain/FifoTfe2Bytes/temp/FIFOHS/FifoTfe2Bytes_syn_rsc.xml

@@ -1,2 +1,2 @@
 <?xml version="1.0" encoding="UTF-8"?>
-<Module name="FifoTfe2Bytes" Register="37" Alu="4" Lut="38" Bsram="1" T_Register="37(37)" T_Alu="4(4)" T_Lut="38(38)" T_Bsram="1(1)"/>
+<Module name="FifoTfe2Bytes" Register="43" Alu="5" Lut="40" Bsram="1" T_Register="43(43)" T_Alu="5(5)" T_Lut="40(40)" T_Bsram="1(1)"/>

+ 1 - 1
src/src/WrapFifoChain/FifoTfe2Bytes/temp/FIFOHS/FifoTfe2Bytes_tmp.v

@@ -4,7 +4,7 @@
 //Tool Version: V1.9.9.03 (64-bit)
 //Part Number: GW1N-UV9QN88C6/I5
 //Device: GW1N-9
-//Created Time: Fri Nov 22 18:48:03 2024
+//Created Time: Fri Dec 27 14:43:53 2024
 
 //Change the instance name and port connections to the signal names
 //--------Copy here to design--------

+ 4 - 4
src/src/WrapFifoChain/FifoTfe2Bytes/temp/FIFOHS/fifo_parameter.v

@@ -1,6 +1,6 @@
-parameter WDEPTH = 16;
-parameter ASIZE = 4;
+parameter WDEPTH = 32;
+parameter ASIZE = 5;
 parameter WDSIZE = 24;
-parameter RDEPTH = 16;
-parameter RASIZE = 4;
+parameter RDEPTH = 32;
+parameter RASIZE = 5;
 parameter RDSIZE = 24;

+ 2 - 2
src/src/WrapFifoChain/FifoTfe4Bytes/FifoTfe4Bytes.ipc

@@ -25,11 +25,11 @@ OutputRegistersSelected=false
 REG=false
 ReadDataNum=false
 ReadDataWidth=32
-ReadDepth=2
+ReadDepth=32
 ResetSynchronization=true
 SSRAM=false
 StandardFIFO=false
 Synthesis_tool=GowinSynthesis
 WriteDataNum=false
 WriteDataWidth=32
-WriteDepth=2
+WriteDepth=32

+ 260 - 118
src/src/WrapFifoChain/FifoTfe4Bytes/FifoTfe4Bytes.v

@@ -1,7 +1,7 @@
 //
 //Written by GowinSynthesis
 //Tool Version "V1.9.9.03 (64-bit)"
-//Fri Nov 22 16:04:43 2024
+//Fri Dec 27 14:44:35 2024
 
 //Source file index table:
 //file0 "\C:/Gowin/Gowin_V1.9.9.03_x64/IDE/ipcore/FIFO_HS/data/fifo_hs.v"
@@ -17,128 +17,270 @@
 `pragma protect encoding=(enctype="base64", line_length=76, bytes=256)
 `pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
 `pragma protect key_block
-j6k58xrOQ8NiTqxpDvExvVWMNOVIR/lcfZqrbnK1rZSnt+h2pkYESg+2+aOY7tIb67oNaN/2soOE
-ITv8IjkNrSdsNbdZ+Wty97ml+ogpICKi4iMdF99xjcvQl1y1gQtGpW8fqnvb9a8mnGIb4Pa90SnS
-M+29p3fwauL859715opNNp6auo2V7pE9bcCthLizzJN8uryiF1wJmkP9mt/fp0v7KbgH7ggBJBER
-t3i749XXkP6myjatDfHepUHirhr7yIABPJNPzEjSjMUQkpQ+l5fA8CFSQxlhN29R68s+5usIngUe
-88Ko0yI0dHTZsEVnleAM44Snle2GJb1wBpYyRQ==
+lyDz1sIVud0rbn20CoO7YfNHYzR0rh2fu8ZWZu8MNTOo5KrcXVOGSUHadVkq15yT1AjuuNdnsadu
+YKwKmxybVXEppU0LjOgs5rcfZy7SAP0G8cCyt0N78E9dH0+T3abomkk1neDatCaeW/r/iUa3QNx6
+gUCobgva/Hu49tVUCPNoxzZIDsAFS0aN7lCJn2EXP1ufQI7ZgWo6MWUrw3ZyzS1PLR10a4tOGF3x
+0GDZiugDNaGapqRsIf8vlv4iPo0JjyHWNvMHy91vSRyClkYe1kLGlPMwScUq3hBwX03tMQNga4Fz
+b4npEuMcMBagRMB8TW6R0LjClwhB+N02aLBR3Q==
 
-`pragma protect encoding=(enctype="base64", line_length=76, bytes=6288)
+`pragma protect encoding=(enctype="base64", line_length=76, bytes=14400)
 `pragma protect data_keyowner="default-ip-vendor"
 `pragma protect data_keyname="default-ip-key"
 `pragma protect data_method="aes128-cfb"
 `pragma protect data_block
-UX+Et4hEN9unjLWf4oUGOwvqRCAjUUFSha8w2dB87WFfUzd1xA71VNDlO5X4iuxoOiLhLC34oQYS
-T3aY+OJ+100LgEmGX7Oe4CjO2yzxau+E7bqJ2BZsQ0X7xSrwWDewnyAm7wJpbaChz6M+mc8ZukJc
-dSI3vdzdOGqLwdpY+ytFKQgrOmcayEbZGlmIHuL+wMb4wmrQZMVofr5xbOOe5+kCzPv2eW3ndiDR
-fdvbbCGCZ3Wrsi6eXE9pgPrsdYvKBaD75Co7wmmZfjX8akSauejkczMLI3ajX28xcYu05uoTmjTN
-UKA89AmqNdBel8gS9hCVHQH+B/6lurhCHIpne4vFPsN+3ZjZ0XeZllKxQHE2f1qbnuaGZtvpkA/L
-s/1OvbYxW+SznGIVGB/6eEF3AtoAFI3bGba2AT0XkRV1fmyF3EdMcBKQx/Elxye+eCNqzO+sVOHL
-q7LxRMI4DI3ZT4lVzrwkVjqnHM0ifANiR6KwXj/3vkY1WdMd5JllLpyk4iz6+m+vv45MZEco1N0x
-N96kCl+zjTUyas2gyNWBbRpSQa1h5E/bLZkz9+U5VxSM20Dwzw53IpQ5c9XzuhlPRwrh0TkE+Tsl
-yqPPo4XFUuFvK9NeWVNkYmxkQsBXXmm30SYiygTfPJVxexvn1X4lYoX3/+6CcJtF5DN0nOb1YOwG
-cjMClObsNb2xbi33YTpj6MeYKXw4azurRv1K7YsaWJ640yhEtQk6B7+EjnZy6aDFpkEOukKVO501
-VGyaZ2TqTykenM3jF3IfQI81cxawNePdW4rqbasVPjypjPW/xYeWLrfrBFpOfqhOnK0X7ceXdyNK
-XX2m4d7Lrb9X6pBKuldo+bCNQEcEas1zmt9bLHcAoGZi55KYymFhOdFXNEKr8srMXNs8RvLtjL87
-fxEMLIfHYoeLtMzQ/dYP32qOqeFe6b47i8zIXHjAbRS55YgTn55znRl+yjpZkauR8P/pTQV8dhho
-MB/VhIYawBtZ8sv2JvepO/ibRQAAoNYwRjjdKBFMgU89sLooo5kheoWI3ra1jkfDqSVFFkPAbUv2
-G3i8uk8vV7yMDaZjE7p854M42jvIVjTP57+SUc05W92Bf8lJ+1CHH4I/CQPycJ+lcRcMbe7pxvHB
-YLFp6pUZmAsLceRyQciUW+ODDt3nM/om+SrQZLmEgEURW3bZ3qQ+kW03Zluls6++HNm8+7V0hZFb
-VLf3xT4Yo70VA66qxnUnBbsuCZtmI4JWBh9F4m65m8hLHhxV7GUHTt0fkQmtqC4O0RI6uAwfA21p
-btPxjxP90Rei0fsSqOrhhS203HPXYtfKR4G/trDAV+qG9Oam7nf0SzL3Njvm/sD9UtOpoLOQNAS1
-PsPCSr8Wpk17tbn22gPbo9a4KfRucxdRxah+nx3vjv0QzCCxhvXiaMPBboRjkEziZFrNyVF14esD
-5Yay+D3XdlG6g59D9/l15La0rACVyNoNVhHJVUDpMscPLSIBU1jD4xJeOU/YILhd3Z6KcUy2HjZm
-OuJa/mOCHQ3U+pXSonnjEAcIzCu/SfvgARRAQLHEREEZc3i2tNCfUa32z9uHfrwdCtyGrhVyQxTX
-Kh2LBt/C/IEC51O57XfWRZdDclT7UdMhVR6zuNY0x1pr41UxDvRefxOo6dtHuCqH+59LY9ysXVXX
-inLKWkQNe07UpixbC4O8erud5DyjpuHJBpc4NG5z7x3earAv0fZDfZW7uDHxZ1y+9IDUGu11vHYJ
-k7QqMlEQZxic44loJMjTWuYz0ZpTI0i6ofzdkiJo0s29ThLhnmzuoXV/pSQqdgEWXxXBXKwLM+jW
-RyS4sdNw1WBDNbgQVQEW4aoFky4Qf8Fk2sewwLEb/0RRUXnvWm1YbS2a1vOYBDl4SUdFdaitp7dr
-cBwtCnCg6FNExYSc1z1CKb5+D0jcjv5ymtZPT2tKJACl/9bt/EITOA4xD6/8qVZgxszIa88OtL0i
-biOSy3H7ihTeL8opqL6uYScS+eR21utB3kxKYenLhVZOIy98h9o1y0JW/QaeKkgUNtu4gYnuO0yE
-G7hEVTmBcBXoj2C2MPP2I21sJjxkhoXS79HjA1tsUzyrPsqFlJCnVWuC8KKRMO9TQa6t/7Q/QEag
-cdasd8j2Bei70H7CxH/P7nvdm0TpmO26hZeH0pT6E3bGv5HgnH2MM2a9r9nxa00tlxuy5oGw0lXM
-jYdmbtDKLW7/1Z9E0lx38rXkPYOVbqazybOwbU0rmctENFuWKhwgZIMzs1NHUj7GhTVvtnqmWgbI
-tk+Q5kcAOHk8fXKT8yToNeAwAIAT3ar4+tBb3J/NoQNZw9QiMfRsUcjaCr9hI1yeu37tj8qw7F7y
-ZPsCD1qgd6zdX9MXD8KXY9d8lZUEoNdvl2tFHcgzr9aUtlkwXASFxWCE4neC8AhoZCgMgAe7u73I
-eaLQm9+l2zEZFFkrl4fZtBcItRsePMoZGaTmYzgYM+gcwJIkdvNIgAQhcrrbM0rNkosdjNfOY9Ky
-o4iZOmouVopNCAGHT31464WGBUbkNq/lOUD0NIlpmVLWzCxlxo81rFlomfnMMVij++k/YoC+UoD6
-7jXOsM4Tk01Akcj/vw7KlIf0FZBUmR2+/KBFJ/uJq3jiY33teEkH45WiYUqpThn88Jp9NY8C3IkX
-0U5RHvS1PibsugoAGGjybyHdtG9n60ttsYpZTa9aD35+XRaNzLBdixw/YlHooRDUVrMxwMs277vx
-6OMMerz3l15w3VN4+NVSxtTnDXmXI6JJ0na1JM4dtEFcCjkg+mNP5dvv9IEXwJPvxhmBnuUSYKtM
-iS6Os/TAI7CMh6Pgz85kJEi4OV+rYcHChJk3nYqX4krk29cZevsSA/Thb2YXMHnrrZMd+9W74uAp
-YG9DBmw9FTlL3EjmXeZpMucrjlWAyq5w+S81ZmlWB+gbM4gm3qSKAzDVOZpJ3Jfpu+6oFHBqUOQr
-X5hJxnpUE5gdJF1CITiEIwiveIecQ3yGrVAr1QIZDgQdn21Ql7rfk6l5OeW86uR6aEyaKpfBkR51
-BKdbiQsfXHnykaP/ZecKy06WvJYGNDRVr4rg2Ms4p6oknEmq9Dj/2l2kzkU0qmk5h2dU+Tq3K7XB
-2OV/wUiXcd8AEv59rt2HNN+tks7/UJAg1hK0uQ1MfK2qHQbqwAKLWa+3NsBmUR8kK0y8B/kr3Ga1
-2VRV3RVYmPTIvleSSmyVC2etlXu2hvwA6hRVt2ocVPe/qISB6hdzcE+P3fFtINpiVYIT8Cqme5Dh
-J3KVUrvMRru4q1CFbqKdgg0EwuCUtWWzsgEK72HzlNyN8by/Ml5Npgg5mrEhMv9rufI815kRuubw
-SytPc+K3xX2GQCp8PEdkOLHzSVvxxlWZHjoaYe484BqLP7P0Ay+JH7I4UpisidYgUEOm+G3mDEep
-/EFFkQyrc/0EaUBuBGy1qsV6cHFuoVxulLUbCeyUptMESPTBM0xsqUuRleOD4WE5jrEoy+e2p55M
-IDVc9NyeMGGnV/q2GoY0cVZ5yK/eCwISJ7ui6vy1V6J3ssPje/ps92hf9doybsxerRzGZMMsOSwc
-GCfmv1MW0/0p/uIcog9mxqm4406pQRG9hOYWwb9wOD83AxLa2uTymz9rvuzbHIhsWWEnoSyvUeW+
-Xqr2b7OEEbMSTVYEw0RZz1aupU0kZ6rcYChENp2R+yoPry/u0lbFZ4ZFacBeRnDe20pf76SvkLeI
-8jXQFcvHe8rNmZ/qItjzErfcqeuDlVD3j2h+mw3oxASEOF1RH2F9BfevNqayW2cxYIc2WyUBaDzZ
-oEMgXJAQtvIO5Fl2cs5zYsD5uWWzJzDppT6t8uIrwSw5F88Zre+yAee3Q/zTnKOXF+ZovvRITUYi
-8tf/UlNe+WT9E3tKZ7y33NVuB13GmtfaHJ96+YCnHbBIbxnjCLOShc5o8W8h5aYG/9mNLgEk4zkd
-AG1wWvqO/k22IQaJcrHgyfXosaLBhKb1VshntOxjWm6rDF79FLrAmNQftKrSxWT7WyLg0I3Se/4y
-ybOUfGlDWyy4DYGtgNQspih4QycSzHHo3UXAOM/Clry2kEVTstrWWmCuqotylt57hgX5ufUI8o3Y
-hq5fghu34W5a5UBfZSbHZdmpcX3YIZATkLdPoPHZLyR3BmMHfmAfka06MvpSQfWYOsJbgjGD7GHk
-ZDNam/kVSwT+Wfb4AIe/PzeC007w3uNShCSEcCjMCzx45i1GTTaPLwkd6NS0Gdgq8w96SsmbXw16
-PgNfb9v8pwpW3KiqJ9xRNIECZt6d7vB88uDHJubgHRP+Pp2ndac6p3Ung4ZBY/Y+ZhyKKzQn4Dte
-hwHnM0Kxud/gaauYGx1q32JKZ+9XM/xSrHOZn1vOy5YcQ/YfnlEkCPY0bkBuAvSbIdyUf/CAqgpQ
-/a9kaadMJFL7ZWxZA+3k10ptomsbWva+Cvo1oA5S0EMskZ03QgsClxNigMlYbTBzcbkOF9Vn8sTU
-bl15R2Ma8TYhBhqic/dQ12a9xht0IXSy1D237fdtGp2Rawz/7rxcSu4s1EagEmIaR0Fn+A7p2KM7
-XuP+wmRmiw0yV3NbnJOAVQmhV3yVuuK2x4SrpM2J5Q0MkXyM9DlCmoLOtKFJHRkLgq9yLRiuSAL1
-CNl09Vd1ygnOEkdx8Kid9uf6klJICyot1iOu6yjDyeAfzCbLdS+DKePdz+5Un+TR7g4/htfYlQkr
-bxMvGnYzru3ghTyf3apC3AifarDlQrKwD5cZElrXANUdPH7M3OAbGAB1IeHcBR7cnK/RTZp3lgLb
-KeFqx+7hLbkHQN9vdualdWvoHI6FgPVlWfpeWgH5hsD3ebqLlVOOZ04H5QibFx8BbVdXfu+v5fsS
-/ibnQEfuWwELPNJZNr97XqXtFpIpkbpzYxg2PlpOAJauaL5FxFLjwSxQ9+1g7ht8ItZo7oxWY/Xt
-Oas/p5bWtZ+VE10VL0wXMHqsnuFiaCI++E8Q+jhyc1Ze6KIyaaXyvj/cFV7Yb/ttwLAxaAM04YDv
-72SRUaLVmpCDvLnOYiIw4ephbIGVtz1HWK6mTWVjc4gUKfWEWzZJE2WsEhG33c2CW3cUD4U1OSoq
-y/KhezE44AY8r+mAsx9NgD6KfEZmeTbFMkeQ5Pukf0Oiu1PNpyLTUzV2F5lJJ/M13WMzyeIZoUib
-YwaFz0dSYtRBL0aM9qa/3x+UjCOx3mR976bQiCe3flDvBCtCzZUhyjbnyNUPkGwnycylHr3NDdNz
-1PAogdUsYwHjjCKSOgP3aSujXy1oSn7SOA0h1WMY3P2e2UC3wrpsQyKMsyk+rgM2BkpLLTkgcVBX
-Ij5uS9iD7GL9FVqUAyyk8AiFgjYIgTiCUNf6Av8KFe3gnJ/0uo/VGeJcVFnK0uNHT5i0t6BIFL6x
-I3znJ5dBIAjAkpAJgftSPCrCVoAFECOIfB9NnHbpcITddxIcO5t7N70aBw2bOCvYFoltqJXRXCzT
-hBucUmdy/2IXTycUtEuaafM1m4hYZrPQSW8S0bDt1VWDbC5eguexsWkY5jGHVU+aNjW4M6aTWxXu
-Wwy+qR3ZRcOSevLXmJ9yqC3uOHzUooGiFjt9drPTvwsJ8wyELALZqOisaa9HOwejtDEkahh3iA6a
-4ymot73XLRG615Y3cmIzAMnSy/gD3ncyVy2VTexmgEBlma8KHOOva2lF3kEB4eif1U4IM1DOQK56
-I33i8xyN+NCk27ceufxIO2QznDsQVoCd+19Y2N4rhI7E1vlUC2NC5TKUUr81ZyLhALnLYxZ0meGL
-T1sXY8sx1pnVsjhSyoUA2BqqgD1otKTkZprbvsAFMCbxQYlVFFYZ00tN1cT6gsry22uKd+lu0CuD
-l2XUgFXKstcQhoRpziPVk5xSrgy1s/XugQMzswFufqE2u72ZIm/7cl8kolf4Ow1XAJcpxFLQLrYf
-DrOHpYKe4Azgcu7Z2WPxKjjNBxYWiFZy9hgP2Vr7ImbbhKJo1qz71D3MEfomLhTzbGvAJhaR4/c0
-9bC3JgL4I53YOydvz1SXfDMh9240WfnXJAF3l34lOcK0sU+c/HdxVYKjzot8DDoVsVn4m0CTKxJH
-/qbmsk6Jv5tDm5/mjWijtcQNcCFhEp3IJWGtK/0eOz5+Le/WxkwzF7FATqlpWpvur/F4MHJHMoXE
-hYqz0omJq24nhiwTKwtFnDs/Js0hK3kh+e/9HewrFMaL4QRdIW3AMxpWo69z4ThZHmd5sNnxhoOy
-goaIx94jLx3o97jRj5jo1uHNO1bXiktXRQW1xaQXe799zUbqqVcEtYK34+28ptcbXIE8HC9nVpfT
-626AKoEXohdYSWxM/eu7osN26U20f0BCBCcOq7nzIpYwPxKdGmQQmx0K/PfpUi7+y5QUAqyFiMCq
-w/cn4bgwEcmG8rVAlTleNpJ13qNHaJ0rQDjI6PYTzudOU3DqF/oftonb6PTN6muIhDqahNuKULpL
-Mv+6l0nSfqMPCXSOXyBjDv+2vfkw/scrMy7XzQldNj0S4xD8nxoFEvCD8xntH8JTrqZrl93MSV2A
-k9ucbmnb0809E08gnjHOJC2p6DYZ6Pn7GC99rL2B2t5Vf4WC+VHMqT46ljUsQlonM5BUbnyYTQ6w
-JeCuQ/E9kKA3pwN1+1uZwbybwwdmEnwjMjWOC6iELNpiU0btaF+wBT1Ji8ssOO0i3cjbHEZjTXiB
-MaScV4wXX7QPVmCje4nLKftzq90TjaXHKmPA28LWjn4r+H93fNiu5dp6Mj9YNvXeaw9Jy3CVhKBx
-oIqBP66fsIyAOR3BgKzhq+sVbbzqMfTw+1zd8O1RfqH9b7CA3u4artAxjqtlo21HAtgCnXnJ/OLa
-WxAa7nC0a0W5B8Mb1J1CLNx48e/zPPE3iDX1ZvqxyFIMon7Rj2oy3YtfT1qm0nlTsv8aSuFUnmyU
-3OOCEAvSpT70JtqDqbr0K4kdgN2PreCpuQRRyxmfpkmKyZEu7DTSOUhPu6c6+ez4CJv5yInfh3CH
-TcKmrZo3/F9GcqnPer5zxdwm3acV8xjRk2hhNFADSQ3s093pQEtWp8vxJp++n8qDp5hMRtH2wr9X
-z4soCwkWK1F0zcX707wAE4ZAaiStgQxzLTQGPINt6zYpe8TNza7Ds4FD/HD0RvOjRN8//ZYFkHBb
-ZtTQU6AYjGl/XRvnXu2ZBw/AJmq8rWkmErHh8Pica38Lrq+vYSmWMZqKdrLev9qc1Fiz9mlTGjqa
-bmZIiyaX7+9fYq31G3jN48z0ja9hsvfN2ff+zBFmUjQ9W/22frIQFJlyrV8rBbKCq7iJxtLtymt+
-NQcTLrpDZPhkTa9kiL3yT7IMnlCbtaKRyjRAUKq6vFPcmXDHbWgy2J58Z3FSrqUyVv+tIgClHyfl
-l7RZt4B/twKNY6Iak9J1/jjsFZO8d1rsyQS8cWGPnjebEvdCj33bRG6h5rE8dJ2n6SPW4H+r63Xl
-Wy3vRQ8pwmfEs3x7cn12EE1Msvb7FGi5FM9u23zb+5fPiRwsZQNdlI/f2GTDkaVRIeNXG1eDP61K
-GjQ4Y9IbFz0GXwRcd4B2bsFC3VR2Nw0eUpIwq1n4nAjTn+Qu2SP15QHa2VjvxmJ6Kpd/TMCcrYD6
-E+ICUnQo9JRnD8NnkstNec9gDTAYMgrbWmfXWhnp3NIK6t2u07g+jyGBL12etOT4gz5YkY5KCs/v
-evS4U3ws2x/G7wz9Jq4gxfLWSesAGsDc47yue2LtEbEvb1IVlZhVU9csRVTJreTJa2zE6+etYy1b
-PsimTqe9Q7fVXKcD6mjU8wjkfFlWSrV+/yhgAeT1IseVHm9X73+xLHgHtctOicOMNkZylp2NL12x
-45rY3Taxl4U7kAuUOx/8Bjh/WK5xfQpL5+uYgtMXzCSXHPqSjcoW2QPcnRQiYa8EoNlp+dHLROm1
-WOCt7g9U6bWnXEDFMadm4l1lirVFOT6s8wLVDo1UgBs+83W6kjdqYbzQTh2+iWMzWK+SZj6/sMi9
-DvcUuPMmY/yCOWFnGjmRWEXW+5PK9hXnxowZOlxQayO41fdlhlsCtGPuLmrOoDJ7O6p2IxBKhVlI
-Lv39hOduX8tQOPsFzUNrY66Nooi6u4McIqYYWvr8+F++tLXbfWtRN9ZoZJ1ZH5OGD8mjL3vO7Shr
-FwYF8pRdBxQzUvqxXNdpYt5585JlQvhv6IxWaTnHeHSxLgnH8muMIdCcQCfRu9vR5lp1mEVNjqSn
-oqIPjqZfBsXP5uaZ5i1UbgkR/hqORYXe/qTJ/jYtbqR/XDGUEetV6RyogmiblGzytUGUA8rl/JRM
-sxbNFpNAdcltmpB/W5Q0KAsQKc1eRQo4jgxXZyx1iDl3VcL/RtRVTqWTCOOQNyTy6776qDxvReuA
-PKwDKgs7jfaY4CMfOtbtmffk
+pHF0HTwB+yKa1+VgLXotNdjzuSpL01LC7uSwdDiVg1jV/M2IWMrCZgCKhHwlZ6L5/lohV/4s8yGb
+YNWPXGbayqgdM2+/3meCNTM3oTgeYlDI2hQPVzHXcKzRmIZ0M7oWZkAmu+BTG1uci+Hr7khZlqLM
+/fre8YkZ0zjT5IgIEO1d3B6jtjsa8nzsGZ1hq607QNj8haKX+Kn5SdGiV3boN3Rfq7QZvFQ2yaR7
+pBaXXijBw7WPJCCh+LztRCyUrluKaaFajeuqrqlz518Uz9O5lY3AnUNv+iaKX6FpBi8x4FRvU4Wx
+APyVjT2YnpGO2CLR1lO245j99E1z956XNv7BInODUp3L3hDTv5lxPNyBoH8qPjvRlChpplqxqZKQ
+W6/oLKeqE+KqB+EmrAYdz2rMP1UN+V0ruAqWS49h3WiyarnFbbE5bjIi3NNrKYIBd+1VR57345R5
+Ktquu5rY0U88KcUxoGbB7aZ6FxH4hB1aoaTEotu87J5l9Gj6DDhnydHO9R2tosDOZY4wGl6Fr4XY
+yJSZHtsL1oP/fLQthWpLMUSTGbkmvR39Xl/e+ZzYUaB8l8goOIJw0HZPTCpC8d8XyZg5xbYqyJ8X
+c+QZvUj6hp/fB/phtgJnC4tCwYwOKlTJvEQvT2fSduJ+gYvsTPhOsMpZ8sUfn0xPW5/texdTlcIN
+Obf/M34g8cT1IjwXt3uBmJgo1qkh70KHo5LwdHjZDnTU8L2ValmLcsAKVYrQcGQUKSCkpIbpaY3H
+zYmpRI1OMdOqgmyNwQLPmT56EqpDV0TMhLYwQIAx/rae43Cx5ovrxm35xw+2btWyt/QJLkYQIqCP
+RCKSQRQngZbQV8MfqcPQCyL4FLf26fiPg1ePtmA+ikRlHQnYn/LpXVqmzT0kDlkk8elonzb/CZbU
+GUgzvPeZHwNM07sugR9S3D3tf6OEoK+GMzYVrRbm2//9Kfjjp3r+yMxcC6Wbm+T619+mN1PoZxMp
+tUDsTmnQgHPCyEzNjfMF7qb1PYo98ZPF18+6xXFcuKL0xKAHbke6LhXu5EKUIe0elCmOxHpzqa99
+MXjfbRsZmMAsBalLEpksl29ZzuaJzotbHaVcqrRLfM1ao7Qz2MvCtjO2x7srx/zuTe4RBrzxSgRf
+P07PDQc3OpapOlhs9nW0rhIE3K9KNouwUWV2xMRVZDZNiA/sV4MyC8/TPEZZh4ZWeK46FY7EHMa2
+AELXfeRLPdsdmysgyGsorlD3Y8qLSYyXmM2ohv5OpYfZARfe2fb2JZjmU308x4yv+45vU+XBPB1X
+A6aGTGrnnKHJDDBjGQtkJYgISF1H4cqfdvyrl2thmqn/USe5G3Jpp6L2M23KDPC0d5K4JvamD455
+qJ3Y9pVFmDvaCZ4TTNHhFCJchoyzN/zZm2HdV2p90LjDWauoqKIE9g641suaBpwT3MuwJth4FRna
+E84jTFJyvm9IA2Oo7of89b88QCldgCaRQxTARufj7I2gpi4E4h1QSxRMP+3aCi6k4G51feR7+31+
+wGnXJ9uuTCPHYSf0buOTVet5yNbc2Aj40ucLVbNjAJdXjO/cV/cl0qMV7VAKpGYiU6Le76i1o6Ol
+nR/Ka6z9AxGBnWnJOzxZsboMd9HLvqM/2ibL3Xewdh9e4xEIaqa9LiJ6f89c4t0KrEeUjzEgW6Hk
+UDIiKOYyv9KfxYWO0LHOinTnT4KVVFpMhPCs8XePyp/TgJYum/5g9Fdgp8zXE+XvSUsRP/HQk1/X
+NaHmgJFYQZeDun6CTR04RIagpJuFIyGtBbqX3dDONLm/vcglv5HoOg5CUqOGNWj73KlrbF0Z/cuG
+v04cxMsIqeeLyo+3eYobDULXW2Zuovi7qTKy0NKO6JU3KKzK5O2z8lY1dJV69WvyloNfMrQsDMpZ
+5057oLOK5q72+nEOYal+uDlgIkAtCXujmrqXpmyv7d4/o5/r+1JcPFN6eXFnyxHZP+K4PzW4AroM
+O6WNiatRXHfC2hlrDJy3pR9A3gH49El/9cJElOKMaqtDj559/Yc006nKPuCNWvEXQ6xrafpuPZu3
+M2oVkSsSuFJlmNMtZq3LwLuhtFR0WGEahAjpnq26A36HYiI64Hgsi2CPWc0Ao0ymHkZKO33sL4gR
+m6JIXCotBPeFkrtrxfWCtSUlD83LBmoNTAJ72H9z2tXy+UrJFRvv+0Dj6W69eBhzZ4avQMX1+4Md
+boSCGnbgfPop8Je4YdDXkOqJ1RuSpYY79KupYYuhWoRkbEwvWbCGZXu5aR9s02t+Zfy7HoMvb88Z
+wYmBnry3toDwjFKARSRIh+a8A+LDYzK2msMmJHEsVLEtaeoJ720TDKanYiy1KrXM9fCOwFodbiLq
+33oIveATXi41lcvGbVKKiNKFH51uDBfBb8aWNc3k3AIXbf0Jmh5j8VMh9a3+cc1yC0qyblI1UHSY
+z1EdO5og+cE6wrQO5lL4pG2zizXLwCkI1uSLWMbiwXLqE8fRCj5Stor5b0eCqBcHRsIUYLJK+Fv1
+00Mp23+RgBsyLhsC3PGFJfQun/4dfrr+4fWR8Z94nMpffWHiy+j4feY+t3I+03f5o7hKTKrZ+LLq
+1IDBejLm3en9UxFbNLPfi5CCN6sqxPF5qsLOswtNPYw4XkV110DgM7dLn+tzlTi9IuEnBzGnZBdj
+/fiY23rrj37AM/g2oEwsYfAq36v90YGgKLaTBYMufUw3ewiz9cq5TXHpA5Te+IaigHGrVMaOA0BS
+NxXz9phJyxGiwAYVoAgaVVsFHJa/We1qsgIP6upQ1KYrHOTHu3eHOtqVVTdQX4K0pHnvTTn48Yuu
+5ivvp6vcriACz+td+FI+1XPQU0ylKgDfhH8J4xpgxzB71R7ouQz6miopsim+oDxKm9dhfD+Olq7X
+Z8s1mj2AB5S8ialYbOMGQ7257gRst+fMrTS8VZU6KZI/ngSu7u5SPzX7PocdSSTlGhth8xzU7Fqx
+sAJW09GLElfZeoVMjtAYezecXKr8WcHPscWsxwdmKMjdwoyrr6qbeBW58+95LTDgCGoAHJFvc6Wr
+xpvGrgXv9UtGDMK6oL9ZBryVH+kO41gr2fCc+P4VklWTl0VWZlH+mYOo/KcduiTtrFyjLiafWRFM
+Do2xYjJRTWNWmo11kcQ0rQbDiox0iiZu36qxsNCzmlGZrx1R3xJFQXmxUlYU5k8h+7SH0rNv5/uG
+x6Ss7EHGzseG0CVLbUPPWNmMqV1iwQGlUv3r8cT8Ec2gGdLz4iT5qDjb+4Z1iYzX/P7jNzqQjJIw
+Eq/Spxtu4M9kgAlWe/mnIJW5h5Qckw3PvLqcmJUvutpKNN6J+w508rAPebzsm9r+lggrsEyCD+PI
+W/oZsDY8sodY+mUUww6014BCzrGDXtqPHkfD53+CTLPIFIsfECwG7b5bGHCEF8yJOYfHay6BxstA
+Z7+yq9725fL9TRVLl2AMCaJKfDUJq/x4MndzdX/9sYNfQ4M03VYD+QatHiyYwIOzKmvILeclNnMK
+c0AIhgiC8eE6Le0lnFXp7WKAMuVwj5+MsBzuhXPy0ctEYpExwZ1KbORRlN810QLVinkcXAUozR3H
+eqmzBanA2LTHYHLOIbv1Ra6xE2+EVWbz5k4il+raCefaE5DnTMIGjUBgx82ro0hKX6tq3guwS/sV
+nQ1c9hgQn1dbYO97107k6JSJ+uYMBfhE4UPIPTdl/ipBPTAoPPCdMxYBPr4HBXtL8ymzLhbGhVud
+4smuRb3143ZOSD7nhIdfRtK5GZiE3w79bQ7SDeVsO1e279adk0EuG6qpUNy0Bk4ZwnMGiFXGKzv+
+ph24jjLwCLrrOudTLlSk4vPvfWKh9en+jUtU+Jvy2+g7cL1iXv6/3hnbA0mileAxiy3OjNbDLW/T
+ILtzAi1e7v49yfrr3yLh8EdtUff+Y08pOTNe8MJxJbaJ1x7dBqJ/dDHahYc1E4dGejIvBZ0sjQvm
+DvbUD+yjMkWaXSaFmW3KAPFrPSQgpgbxZOTCWe4s+NeJQEn0GLhhYfYTUk3LOJO1pdd/C29Bw0xf
+qsqHrm0Ppw7MJwOybaqLigE47UGBIhyAlPxgqbxvg/HWZCs98snH7VEpMa48Dk+lJiwlDqoMVBrG
+UYCvv8tzHpGAuFKMIkNfkPo/Dxxx2BzjMBk4rf2LH5G26tYAsAtNWfgOG3VG7WEYOJR1a1Jtzbc6
+xFYGQk/4hAwe5/LYiueW/cHJqsRtdpBu9yjFXmV4MDiISBydqOq+AwRgtuh7oBY9G76Xm1dt1Ekf
+0GINZL3B4tUhfpTJboxAw63t8f9+7CiI3CivnHNx+2hwBuEvK48eJVugxSYQj9jR8Gp8Qn8JXP6p
+YewcBl+zpEXKUoZMVNs5rZ7G2+5fCjNN8ZxdxVm7rLWBzD4n7DP1RYXIhcWaMd2717D/eR5oqAjA
+Dq5VIuOQu3CtdSv8H+p4SOmtDnTK4bCVOi1Qj2cZrPgB7qY10ij+UlWDYKF4bdFiIQRw8wVhUg6n
++5REn+c7tgnUPUJNX34VHSKqaP/HgVuliPegZnZ+y2Cpb5KQga2bJLqyENxBGg0+Exh6he2b80ts
+fNuo6CWON0AzSho5iADlSLI6KWRksuQdYwJN7YXKsVggvCFA4iCh8GyIFQwXgJtzXRt4fV544gmI
+EgRvzPbwRmut46rACwcHJsf3n/0bLun3NePaBApOgYsMgpGwAsFzYJGhgGoZFBO8QK0PmAOtPQ42
+nhVR4i7SR2kVwvtgLBfCc6UoikVedcNGVF0RBr6HgdXP6LAM5YbWoIekewBH4bx66iknC16PsU2X
+J8NpDUVNrBAFcn8xHTLwE3MBsWB+INiTATItTPmorvuBivF0Y2kgqcQMjI5VPuRAncgA0StkXrqD
+V/S9gwlGJ7IuGUECrtmXvNx2ebzm9bIxrE2EMvurkknLvvsoDNiRYjEy8ROPtXu2bsUe+djmWSFp
+KZzOY/5SxkLmFVvwCvUJs46rhdn8BbdFgrCCf1KKQUgtAqqFb80JeZzuhJvTHNJqQQm+WKXYFCsG
+KaLUK18HRmZY1XNxcjdeyccVFzb2Ij0TV1SHY9GIT/LWxMxk9oV6NJ3PRaknqReLll4MejZLW8Dp
+g0OQf+e62WRYRA6eQEfNZxD8sjcW605vegvYbiTmsN/JDgj4kz7qShKJvKZccQC3CXKXBYRwmdE1
+648yg+J4K1+w4tewif25Plq9jxd9AVxfiWAd9pX7c1VZ/+Q6UTEBfbe8YxoBRlJnM8RKoPUCeRW/
+Gr+Fzi57T0qA3n1WJxjq8GvopV0QH6v42RBum69NGulIfcy0eAXb84+ceh4C9r7+la4mTrXs2MNS
+Su/AfLYdN5Nm2eULr/yxAEBfWcROE+9dGREd28f+FQl2r36mbvxr7jQle3hZHfXnjg6kgLJCYaCv
+xrXCOqfexgkCHkAlPJ+GvAtu6goEANhDw1mH2ToioXX/oHmdDODTE4GBD4tUVgSPjz6jgc1FueE8
+/s200y8Jq9d/K17/WJvoG6PZLwmMNhlxy85Z0l4nUCCOdPk/ZIQf0tP/S55gwwiKzW8x/w0uZpgK
+y0KhJm4PF1/9ldXz7lDMbRBSTbUNDrAddcJV7ybO54BABDu9YbyRd0J9csa5NUebAvJXq7eqU5CD
++huGOjnJWwAMYhhYMUVNXISrLzTTF4qObMFmWPFsvK+pIcxWNiTYqBImyeIig7veXE906WTkmuIx
+/KZ4/TaJO4hLIwQiO3Gs4OMusVnLZJefHdjWyJ+DdcOpbZV352+uqNvTYIkyKvbPVc1w/ImeXUVP
+XOUfwJD79zV0ABmjDLbpiKzoeM2uugyyJ9p97hpqkPk1iBilrt4uL99XkAUjJk03f5zJ7U6LJe0O
+xa4o3KoSf6QhuU3WB7Y4RJyFQS6ehSknGyZgxxxmxo3hz0bywtu5h3SqgZiRt412rQ1ns12OJmEw
+vYowx/kNwQVuiqfBA8Reszb+tlmet9nGbAqBi+kqILv3XjqRsj6RiovnRfn0sfIn17ZvmRXjVQTr
+Y0J/hmO9f4ITMOB3o9WT+ZEQMNb95jpFFfcbN5vDoqBtrMZ+Q25gkMLc2ZRRG3r87sfAQG8NHMsL
+mKyz2sGm2DPzGAzH/7VpzmpUUUn8PNwzUqCSkImNE38Wz52CK/9AqKcmxN/y/Rz6j+FxMsVY/F8D
+SuXenDmErS38kP/knPtUqroMCRAxxmbhkaaY+HMkWQdAdxI/L00U+4ir3g8AytRYUz+g/YpFVB1s
+DUiDu5IhU0dYhdfR7PkU6TzwTSQPK4CgCzEzHX093mH4ERoyrl4OA56UioUhxEqc46YekNFdBOvO
+6ptcqxAS3pwjaUygKzUZAx4fDV69I0jbnokcVUJ+yR5Pp4ACIpOaGCfxtV2pGnpvsTMtZ/bueQx+
+JDwSrwU21f64Z6jbsD1uU8Wa05wBKNHzsoIl/j+v4D1/mLhyeR9wUQHWbhryJCMghVL8Asx0qCI2
+BTbKlw4BhZtNfILL0ePB3fEZtuL61MIUMB33y6qwmKliySrBkVAsCPnA9L49CuQS6KW3TcevuCp6
+hx4Eoin/KGJggyNbWtB6GbG8qYxi/3N0Afeyt1EQzLUeHYC4zVEA4lZg5XwSUhiX+JEAz4iT94Hf
+Jwpj4AxFzArOgE/Ytb5eEemE97gbPtshkZ0kYNbRLkyq2OpYVqaGkHzutYtavqBdAbH70vmCyXuz
+wvo+RNsK6a8oskZVAWXFt7EL5RVZFegWmp4eJYVF7DpVR+6r50MX8Kg5oTMd7NqIWw8INbRmCHYR
+VqaK6P2A56zI4mEodJU3QMUaNblwMrIexkUAYzSCmoQLDCgSbMEeErzhIWgrkbsrHayiQVIsNgXZ
+ZIMmAk4r1Cmw6aYQiGODNWswdZyF0QDcOzJ6FtWXLt23aPiJ1wXZ8bRkMBLS3z/MshWh/l+rIyXj
+8tAyWYlrqkplWT2WZZe0P5OXV8TvKEw9Ttcmt0POQjhzktaWH7G/VtIYShp9YlqdUV5JkAoKHmqP
+emHiXUJeFu9y5Z33jayY6Ry0yBlhOOroiEwAJ2AYlnfFeJaJ62xegwJwy14mUmqP+FCQpr8wTdwL
+XMe8Y34HAIU7cre85C0EegnR1wUkEVHMzDjZZa/MopZVGq8JiCzN1d3eQXfwahDZnVgQn0d1l8Sr
+/ceyGG/g1uaSZfQKKXOmfH7lmFrsENMkOlRHPjrn4kaewhjcqXU3zpryuPa2JMJA02VMCPUYQWS1
+r1hkpyU7YB02eXCi449w3WBSuNVWnrY65SwMqSykdlelU37cnCvwV3HsY9v6sCJaqHL87Z8iMI8q
+tJ20hQxjtWCn4aiAiSmBnHcyK0w49+A0N7kBiI1pMw3eWyCnvXx8ZQYS0PlDvk/yxPqM56E/WjXL
++SeYQxtaXaAvs3Cclot5fgFCzTpch1OnbLwf3JZQFbrzQjZybS//bU2zsGjiss9rt1gS42p7de97
+o6gkSiC2h96ebYLuU+A/la4Jd8XV0T3HgNDvYSJ50wvpy9mlup/MGLE+wD4YFTa/dkE8MCKp+ldp
+PsipR4SibPcYvmFVF+FXWAsQpwdJ2qo8BOSyehsM4mcFSXd6uAj4I7pkMxWoM7F0F6lzkJ41fvKi
+jcr1W7Rx6r6vOtiM1b3a6eoqDf61qnATttoSrtqmrc8X9uBSZG6pDngEIVexwBLC2wiRIIV/OVTI
+21FwDk7DweWLXIIt9+k17P/xlBzin2B10Az8xbCU7etJQsf4ewASfQfYkA2/ct/clRaz9l2S2mDe
+KWDakmtvWcdM0LModxYLT+HEnuJl15ZZ1gHDlg28ExGX+AwaVJfJ6j4aa9sZ5zBaBdg+nivaMsQl
+HzJRZNSzak5Ji2KZJeR/tAmTklGFxC0M3w2FrHS42FyW+apGKDddYRZj7vhgBBp9s1DIJIlaTM7Q
+0BV+XgHMKKPx30r361twLOfaop+Wbig0v3NSquMlyDEpzS+Dq3CCNT9joxG8Mphh6+t6IiKtsgXV
+AhZcQ9HZoDbpXOqznIxXtEcYQJ/fPhS7xwC2LAEBgkftZzXt+NBrM5bVNhIJxu0/Ui0JioMvpasB
+iXVwYgQJtQOVKrd7gaLa8i80erDCq9vmDulCU29AGNMLq1PZ2P/w/ccsb1Tq/oypM2Nw6W3teeWC
+gJqYoIbrkSWN0hsWPkI3nd5nXrkBxtRBiczBV4/oSCGWymBcn/PQCRHVJhuZbCOwOmSlqVRhEH7H
+y15iSnTddYqHFjlD550zwhQvjV6jSMiMcPQhfZR4SGju8CNJsNtz7ZjHqraYUdJYffVQ/xkbUTtW
+L+l2P0H3OwEDp+9Zp8L4vh9pMkq/3J505ZSgELiKZSc7vQvi6qM6aTbqQClA76pf6pb7wGAYP/kU
+Ysk6i6R225lwGo+PZCeca6cU6/gka2QWiGR9qawTzhpMbLGChu1HaIjHroFx7qdI1hWxCChhr/i9
+xHRli4y6YvVncb9bXMR+IblwE7q8QvEpl/15CuTYlt0VG/WmLyEFWk6vHIfrF9y9EA3mm/Ow0WfL
+D62ksL4MJTshc1xtMmOtDmRRKrqzYC5PVLhV+YfvbKUXRZoY0G1kuPPSFhS6JEX/hnCIJk0/V+rI
+IyJYMYxbSpxS9PsaTI9mxE+bXfIMauzYqA2tIo/RFOn+R8DAOKSs7Eo9NiQKhWWBvzZIWiTTZdCk
+hNeJ0Aly/7V7ZCjohI/3gn9FbMj9IO2YT4JfQGd/cHIxVsJ4v4gRTiRXAxkRLsIqtne9gjw3/m+u
+K/0F8tYby39k49y6qX+XMfpp7FmozUtU7NrN2CSwreMbAzlexudIdwKL/pIMAW8zCo/REiaekJnV
+1ZiZIH5HfIQY3t+Kx3d4yJLWXmv03bE52YeBm7AgElE/vjKyAdWLsUdKCuqM2hRre0I1iXy0Q/oP
+2vTpEqkoZR+FsV3fSFdTLiMtBm7CcpcZY2RoJlLCWm2Ap0x4VXJw8gAo6g/igZ2ivSF8h0AEa1qN
+hppr5aC+gLYxVAohJ5cR8NBzaaPxoXi7J5PTZjK1qAxPQkP5oQ0+Z6ezfr8d12XaAnlKR3eDkt4s
+Pf5nZ3JkMmLZ5UAY9yECaGKhHCriY+P1VxN1qosSxxkxpNfl1C3ztr353IVvWp4EsPEQpoVpS+ek
+qx0ZhKMc5LnAumd/XNHberl+SyfRqPmstjCrlfoHPE/PG6DiJ/HFhaBbEr5jvnUma06fx+6LwT72
+PPAnkTn2CK4FZU/R6JuFNDCxynRBf6zcUUjwtq55098PxRfdy9QgvRPHZRpxhSMiqbNHO9InfufT
+koyq7+VWpGx52G2/RqBHMBACb9qNdz8C/5Okw9k8UVxfxAJ8okHyuBQtM4cu8Xl/DoXvtBqUESwq
+V+WPawO/80mFingKsAqHYc+ExGzVijFnw5asj3PCxcTKoUvLoOOk0As7PxEVD11OG1ZeXrlv3KgF
+2BapR2vCT+G/dAbvnrj8QmJPiAnNTN+IqCOJkejFwmstOeUUrSk0dLUW6+URFT2t+CSO2GJhwELs
+z+aFr+U1MPL6RDavR/YPs2/dSkvEMnaURMcrEIrz6tzqAhwy1QVrJ6Klpba4EMHpBD4KnqNZ4ykA
+2yN/kZmIsKRgMQwQTam2rEgMiAJq3f8WPHH2x10hiUl84SqdiQ9vevaMZEZjHK0uroXbkP3BjuE7
+gVTAXGippAcyd14YHeVWMiDsPmHxVl1vs+Sh0TPADA+GT6SPP/K+7ZFrquT+U1ELPN7LFIcBDzux
+SKoWLFFoCatnc5XXZKY4GpLZuGwaVJbCQu782/ruAPCYHsn5FB6CE6XTHOpNqyzt9qXEWBzQ8YfK
+M2pms5+hp6mC3kQmQVpQI5g3uSOBE5KNyPDawvwIvq68M7Q+WTnT5u6fzm+XX72A2lYCOhNGEJDp
+u7TCBnZjKe1T5WKFOPf7iGu3Y88/x5J2DGzNQPATNDdd+Io1dp1u8h6j+RyE8w0yhbvYgm9nCXZK
+CvnLqpop6i/Fh0zAFQH4tYQEMJ/sZovaPTYCrtyA7EqJ8x+gHncrgVMC+Fb5Qq2zg/0cKNr1jlNO
+nrV6VohpN1RKYM3T6jFqWGXoUEWVTe4v73foLIkdSpezIjfMmsJDPn+8xDhe3Ri1SRWpD4t40Iid
+I7Ed7kB3RhhHr/pojSsKxwLFY8/p9BzrnFK7zKkvwOYPRNDeoDHYUDsIAY4pQ0Ba44ZOumQSJnCT
+J2QveP6wGZYZcs3hst/sZDBp+Coy0zPSP9mZV1y6eLgEa8nPgUtZ3jARUuFbrv7lckFrd13A1UwH
+nFaen758WOdqSFPCoaa2hKU0cxr358PO7sp/d01WkesSGZmNBTez5puC2WiNG4x2uhuy5zcnzSYB
+kHFN2k78YWz3sSPKaPvDD70BnHyq9EvIYHJPRBbiXWadZQwvx2/QQqAZxSBCYIiOVZI1jyn7rnTp
+2qXSw1fTs55uN1bHEFHYaRAMTEPeBPB4KrQjLU5nXpE2eo09sT7RojyC1o2SPo/H5D8jckPwo7ER
+7PyPj7QjJ637US42fMj7NpJ+gcflYNYZ9TDEetnBk6lA9Ym4jHMoHgMaEtIPdoEVg5K+QGqCXveE
+5hDRSVBP4wd0vNEfXJ3rMgYNmwLP+NewKFFhvQ22kKd2hQzuCDigO5xyrKzyahjc1RrwJkSXlVgZ
+PM4XWm3JbwMNAXHkdqH3U5EyVvemTq6Cd4SM6eoiL0rk/FXCNBHvLZGU4DwrQPOO34l6BLY0ie6b
+mgrOzExVSKcEdqLzkCqBjClHViskFPc4vG1Wt5EMQzca9+a0VdP0TPsLiO7PaxPRvlVcmB9Aw7sI
+DOfYffUXYmg1tVqJ3l9UuWOlUWPj3iNnprw2ktvcVDzqfMIGeCMxuaqCGv0hLWuD4v78EYZvv5aj
+vfsDMxvl2MRJuNsOtSoUN1sOjCucErq1iGBctQYAKVK7f5WuFwAJ6EKq1FYnuq/tTssdtcC6aiuV
+FpqV9SiUpOnXCpcmhjy3ZYTzsN42fkowFcn8408a3EwKfUwChUv0tdinpxS3d0mBQmIEJ5yxwFeD
+DcxyVSi2V3nWkGG3AFM0L/jWApD7BGaLNop7CAhdiiDHRYto2HR0tmHRA++m4gTJ7KNPPYQwY7jA
++88WpKXdJCvE6qS5jN6m7qC5CzjnrZdNEgq979k25weHqKouxFB8yClyZS59WrCDkYFKmU6vfSSe
+h5KZ4X8upw4JB7gWjEAW6YScQBB5bsLGqyKOm2j90IGCq3CJ/KSdIuPi3j7ksUCmj3FRGdtoZSD4
+CrNN0lA3/+NvKiUNGTdidcAEezliJMPuVbU8Z+pZ0fT5tI3tSAgRA/45Luw25Kl3CTGFRhV7icYF
+yoA0XvgraPRFADTKfPnfcr7GpEGd4ppXydS2XpZPq4GvRLbxi2A6icZYW70CdDnbSNFFdbLyOkQk
+kmthdC+Lf8sXR52PYGcGLaEnrWMsVoPjgNWQfTh8J92vMcYCvtBuATTHcAvNZ4X956QjVTg5dQut
+kLm6R7RqN4gpAIZbUrC73TSQ2p5XkUnzvcOCn1D0fDJKNawcU5WvhsT1pPOB0c3zOC/v59ckI/7l
+SOTJkHPgXyLfGWidgMJPS0vrHDloMwRG5k6/RhyNGkU1fNxGOGduhJizm3aB4rZr8PhpUMHfYCqm
++OOVn73mFUfP8G8qBcZM+vlDRTrgDsiRrtyRkW8GAN98OXZnh24vJ/T/qiC4bhE4LI+E/W5TWlGG
+2M7+yQ7+UG3bPL/CrzcZsh0Of6QfCOaufNrZGgDDxLfwdJ6w0NcF8cE2NOqSD8H0xtI66aK51jGo
+qRjkoFCaMiJCkWCnhp7tOgp5O6mV7HX31NJr6ZOmBTY/uPMS8k8pmCKAu21CMRJmyGKV+DUenIWQ
+cyChARaqqvawKdS9uPIVSWfvJwGuijMihSjZ87J5Yfj3JK5gOLfPlMltS5rxF3qplRinjuvbwtyX
+4eiFxtF2UjElvmrgx8M+YaZRMFQdQkYETBmqUdr4rlsESDrp3PKWgBnlJA5pIoVY4go0LnONl8LJ
+8z9lT9wE2Kl1YJCEW8jM/SouuL6VLPXTCpgyj2F25Cd3hiD3zsx8om04y4Mp0KL/YTHI+SATes2H
++Dudb7uZn83ua3pcdCyu9iWSLgcnZIyhxdPDDJeiLDhSEiqzaEOtiWev0vnW0J/Be1nfUg1vTi6r
+10lY1U6KU22lmlquRsSK3mkEtb2uHHoUUrT2HEBx+6r/3DwKxnm4RV89crDK6LqUzs0gAanz5rGr
+WswPKPWt6Xt0/t725+smJInVCn/hDCIxNFGCPcQ44CqRDortU6H0O+OCGOrrIJrdqguIeofPOgKl
+ihuaGYbWhNeYiwizZTLfSp6SB7LhqlLmxn2A1IH/2BbnRgCQo5NBA83B9LwvXe6D/UgZI83x7RGD
+p8gQR8lLyHqy2HEFzinHarecn2FDbC7BsY2N5iSSzeE4TKN7QGCcacLHvF9jqinsHRcjS2M00xWD
+cd411K2i7SIkxfCsk2cUDSFwrAtzvT8fdRp/ITsC0tqVuvkc1VpJdhPW3ft1vSqGlcACJtE1/Cfp
+GpWufD45oDZZahP4FxDMtoI4sW+XAi1QPqJJBdS7r48VdcOP/8I41M0mpEngLU4jVEvY5NRma6Nt
+8ZXHYObpwQM4VUPrMuT5KX+1v0eJesRcgvKY3tOT8nu0IdNgCiT2FPzhNyRvbCSsLC0ikcYc7E2H
+afRevltqP6rDUPLBfgEs8aUxiaMAkcvOLo9K+5kM+XRxfri1V9tofy+Z/2b1lm0EwOWDjXii8wXV
+wYIiNCwJkfZGZ9XOfOgqfH/9CfDqdb32oe7NNrd7FLR4JBbI/wG7DVrcSGH8RLJ5F0sKBJfMDP7s
+UpQik/I1D3192jYVFbmetJULGZpQSKS8ag6YKO9pkOZb5ihomKNh4T0Y6tWX0QrOpN3/nT7YtT+p
+CMDJVfk0S6Lfjmpcu6il9EP9Wt0AREwJnzdbRW9VLtk8DzuuJOOoccPY+z6B/NgrRps9o4GORq2L
+TnBR/AoUaCqRV3qcWiHrewpNwPGAdm40TsK/ADfm0EOepuKrABuKwe213RGJTcdKKHK/YZkJnvpC
+2PFdpBfa6j9NyYnljR2ZWjVG1t3C+h68/G9ooeLbz2m6NyG83ibteJE+qliFXasryz4XPSdm7gcj
+hQJ+bkEByEgGPu/lEAsSiHRO7ln2EkKRi4lkl7XvNO6Ry/ZH62SxaF33M0gssZcWnFjLNdHtw/1e
+5AkmfVSYpuiyUB1yYrA27jT4j8nb0WPeHaTNNVyatc3UGtH5iZdHoM7D7KRiUEhzmgQBYcrlGsRe
+kw1/Ycs8lmfUCrK0WGGdJ0daam69BEJhjxYlTOBzBYs9JRx73IHC7pXPbHYMgqc3ZrzdmQjawIGg
+5qryl5EWWp/aMM3uOf8nu9jwgHy086UtgIt8GayP9ebYj+VSME3eOchb35T1VEfVAg4e0+ho39pq
+dA40YdQcQbASUMsTCBPJWs8blet1/PFZVFeoggdnO+8o4pgT5+G4iWAkLc2ulUL4QGQQJZyRTpSO
+GI0IkDMubKWqmP8nKJnUlAYDA9GRBEI775gcFeoOrtzpfpVBe1IajWhQdheWZttsZDf0rYTdKtfO
+bJMcsei8oNJFabBZ9G0l3WTlsyw7zw6/HB6NtWGh/9hRI1aVnj0RjKtdSI/biFxH3N3zOoKz6TbP
+UKtlq/KIziZzYd6h3BSanmRQAfKof5ZdF75Piq4tiC0RKvB8z82X7IXJ6EO+6SQms4Culbrjw+QF
+KfokeTld+c4ae0pqDBCdf4A+mLR14mG4EFRa2AcFTqjyFbRqKWj0ASb8EcEYuV8+QLB2SOoHVCCN
+D2pvo07O1WpnxA1FcMuNvGjcG7XJbC7oXggJJttgF4wtRHWD60i0KD3/GUpTsEITjhpzuKU9RmRu
+39XuDoauckBh4r8wYXCO0ZbTQ58ingo+PYdulrbveG6NNpP/THTG9uyxm4siWlI0l9E81O9dMv4w
+s6G0lp6RD2oUjT0f8/9/AsBedCEDGLSsA1ByUtw7ASoXWJiTeIiAxoj2+NSuGqjMD0gieCnJreNE
+GZFNqhbplpohkc+GviSqVdNxY9f1NvvMfTEsoVJBnQMfAS/JFa1i9PPYgUWQ0uL6x/pWP1sWzQqU
+05M1BHXktMdJZUR9IPlEhvOuzt1osM7RagiXACb9WMtZLhvN4mR33JmsoqL/LN9XZkbPU9yqNMjT
+A/+BaSjlJJPE3R8eLdt0jwXMpoCcnYPNO28Zr0RYUMW+e/vPlzGEaG0obDV9Hff+ajGQ8jwtkolF
+MO2eUQrgHfzR6wWhkwoQz//gi3+l0fWbhFuRMnqkFi+wATihi1NUxP8sAgNqhvoprvx0dAEATDNU
++o25urOADimd6FEcOWJ2ZwI343/bml4u0tISLL4AQtXzt7WCpsRtO+CBfhAUnXS+j2IbVpNAiFxF
+DJ8Cb7YkeO/xnEIb7aXfldbtoyXn4MjMOAEIwzKRJcjWNHl94CF10ORpTynRQsIAReR1ux3ibgP7
+ZImct9H+IalYeGAmbapoDc3iMzFgXSrb6IR741H8mcfrixCo+52UXjCoCsHE45pbR/6iQZ0QAd+H
+CzXqjToxXAKrC2yGIbksTWxk9ykzGsFlUV0sY6gqz26DZOCKF4Ut2PKZR+o20B1fC8jozoUHyYJ3
+QUPnNXvkmsct2zH+U27KNL2vdFSuMdPk4Z28jtiGBX/89LQWIXX6L/85WWOXcbWOZrhTu32tT7IS
+ETCd9wzgUqUUVMgDbVhYWcpau/cLjbJfJgTJkzQipZkWDxBHQyDosVxgokEdaEs7v7gyY6ngOtGI
+/oydvlXBexInStjD1wuhXr1iRKs9y+z4z8fGMJahdXOB9paEGUFyqm9ox7cFuldJCNVluQLyJx1J
+jLbFOUmoJgBhh4FcKoQ/m6EHp+eFt1CmxHIAB7K8nVP78Q+U7znSHCm5EO7sDGKhDWLDIN7uGNSW
+ev0GdYY6nGwOAopeWXZ1vO0ItjYgVvSemTjCLnM5LJMw2IB2TQ74+S90U50jlag7R8gpPsMRz76t
+uz+bk3W+pWrhqvoTm/E6AsGsT2rP7HGdtLpK/bcA6KxS73Z9NAMqT3bkPJSN0xbnyATdxS78aXiS
+tQR4mAjz7tWHqIyx9XNGSACBci6hsNqhLIMZ6O/yvvG6cXSpI3yf8QwDj8ubdnjel2/y+Z943wzV
+SAYPyit+AOcmHUEmiIQ+pNR+DQYmE9CJ5v7T+PND2GNRZr9eD5oDRL5qw7s6HRPkYjnCc0n2523W
+wGnSvfRX4gKcUEDszyjapWmeoDJMOzzdpgfBG2Ya4wfpiS+MFgb0N5S9N39rMoJG8juvmVYOggZC
+uwfqtqEvUMRXHWoC1S6VFFsulUPoJbsTNe5KDw7tk3dVli2aLrmNtohE1u1srzAIeIfrzLWokXSi
++MFOxkab8OpOW+DmYSBaxvf46K89ahQq9A7nXgEAQvKDdFqiUTYqesRhscyHxIXgSqvyC83n+dE/
+Rnoa4BPc6nYd19xKI8SOgu+v0PPa7hXzXB5dNAN+D7r0pQqPTF+LdqVlrZVokUJt3lVBsUVwxPVc
+kF7g/AeVT9OJaGcTZ0k5wsi782cKvsZsz1xycs9FTPQaTDNJpTO/RVeBzgmCIoEJbvqjTb/rL2/b
+ZlXTyCxSWQQJf+IchfSr2LDfFiT8c46CIXjzxujWRCB6VuR5+lclBpl+mUDRwnGiYvfZ3Kk9V3T/
+yDNpWJMtJMdMYtrMpqxSOUfIcXXnBHoRPui9iRErYIvBF1iSZUCemwQyy7WvZ71l/z9aVJGOhmOI
+BQ/0DN0tWsMMeA7yrbkCp+b1l4wiqpux5FKsgTZ3UNi4ZZavQWVG4IRkVNV0Lxm4lXZslG1LYgh1
+ctepHASmT9aat7xcZ9ZAoEazstuyGr7NRK49sxxTny9EM3VMO5m8E4z3T4OC8funXYpl6OIvppG/
+zwrf7Tdcwwa9432Ytul3qMTn8azE1zRhSLkW0M5QORNIOJW1j3UIs0sb1lfzEo7BOQ1gLy4ctI3R
+brAGvCbg25HZMgdJciMNZycXgkB2OdLn8fPXrpEPOZ5S4YnYoGyQNboYVeCj7CKOlou3tAHc/B8n
+yKS4AKQcarvAdqs2gPjREgxl6P247eNHAoWX9QgyVc1dZGWvCt6JOzPydoEYUzAolGOZyyhJzrzu
+eY1vQyhHRJYH3c1ckTxXp5or/Ahr1fvP23aPi/auCqBPFE60hIwjej+5BzSSKXCpitGyG0NQ07P8
+wwSybGSiGR1jMYY5N+iuM2jfGSaps/cm2lD3uDw35S/s9eZ28Y9OcN+mMZ5nOuVt/IfXhawhnQZK
+kIy2kXrpp6scsIBat5hj4byWt/tIDHjWQHdJPTk+vGGbkPmzy8o0bofvkhsym5ojL4V8xbE5cuiV
+8ycvRx+2f80FlVtwwSjvxo2YBG1BbYPr4p3OPl0yY89lpcg4LU+urL/Pz/o9bkUwg5ZYg5sPG0EC
+VrcR5YEewIbIff6r/oP2Tt/zCzsJ+64r5K71zxksWh0wHs/eUJr025cTKC2wqPyud4ajkqM5B81x
+wfJl2ZhuY+fEfkdNXq2OGl6Sw8QRR4Ki7ISEJx/v2zJ+QB+LAyoKLHH2h4WVo8uTFAZRebadi1QN
+9jJkIK8BdUh5CaTgdhCPVw954YwXh0+ql6mJq02V7DuZtY100f1ibROmDvpBuxuSMuVcpc7jvGA5
+oATbpbWYWd4FICd645VgWFXYa22UnqI9I1uxBcUuYIaUWRZhEXVX7IAHHRqJ3OlAwYe1Wu9FFLFs
+58b/JNmWT2XgNYkA9ervMfi3mtkjL/2Elw52u8x3n1Z8p6qDc12pQu9bW4msJsAXAT5EEXoo5nqA
+voXhKRaSU/lrsVaIyGBy4FaUgoCyuDaOkuhrET652OexBuNnUaO/QWl58/XU3HdotxitXWUZtzlO
+hFPWp0pZoyZcMPOUtUwEGFxkBEYLNqyph/2GcsXNGZgrVYPbXHzVk7uJ2HLsDLyVQY77n6CSM5pb
+ou8PNM0pVJ3eZ/19bK+MfmOEMaIrNRi6L6ANF3NccUMNueD57wwxdYlcSYDoGUysaRfizc/MPx1P
+bxA95SVq/wQSATtrkZKSE82m6sHkeZSCuMcbnXSrI4AeU3idfvaZdU+4V7DFc0A8K0zHQnKI/JIM
+pMtF616T5hNFcdRUGwkOYaOj0VpNLiymziumrb4zYZgtm+3HVo2o3/vvmkBye6xYEDDkVs0pNqPA
+NFuTeCul723xMJ1Zf8wbIj+cUBrIH2zg6trROMIuW9aTX0FB+PDml2hHjA/PHQHheiKNBRQiBL30
+UYJFP+bwscxQds9SEXXmww29FyasJhN8YDSU6RAZQG0bXls063IrSfIFX6HppUqSXbQSJoLwmgHS
+2AsFzRpSVYfwxRK18K+C8IC/isEXGmOCczC5iTSH6v6ok3dNUGSdIKIoqpMFDQLlOGZgTt0hzjIs
+rhVfT/iZ3wSy5pbxDAnd3BKNc9P+YnYKNOcLxkFIy9jkOVU3aAesiTc49KPrqnpS0eCztsv8i4Rr
+zJogzzaVMwMRckCNvbuIl8Bgzpexz+cYzJkAwBj6hV4ijW6a8GslAVlOFxAPVEpKLz4r3eMKVTkR
+H2AVD+JjZCVLCV+2OkdxsYWSO0qDe2tJW3UsoHm+hHGS/ap9yLW7T6yRXle7jXQ5FYZOP6BfC6an
+0nFRDzk5mNTxyjx/gWnR6IPdfG+TUjlztFlFsJlsNM7enKcqIYZVvL7JP15wtso0IK3xNX2voBLw
+F5JyPNKUiPGd3zUYeXdFNo2szu9liqZS/Y2mVqEB4IKG7dhvBkfMLS2j6ZdXcTVRZjg45tdSbkvd
+L4CCbprkAlCtWPvY5txRApplAJcHvlYOoYIlNPIgCwiByb2IaRbxAynpXVDG9LHRQbQN7N8EfMU/
+oWczOEqt8ffa5Q4cNMmNJLYeYsXuS9Qs92JBdw5USlOHWjJ5I7pMTa0v84CaC+J2selQxBDQVdI6
+evbv688PPIggcN9DUFXbENyhPAVio0yLmZpTjqoPaMSdOf6GvEmJ15Gjo/IhcS15jmWnrx3vXU6D
+yUfm8VkEZxsSndW8N3POc0VdJsVGbvGM6M/UJib/V1RHCL9d7Tn5cxYwJ7ZYSYCIs1iSxtbIDbTd
+45L0MZzOKvzuXUY7VCGQ2mBRd8mkOuOl258Qm0wbz+1aB1balHKlsfNSbmV0Xh3aaWqacTaHPk3i
+pD12WWo790lDN8hjnlFNixZO3tQmusXQ3I8Iln3XVQEQazaKjDIc9GaUaom6H+7vglLwma/pQTb8
+kZeT1Vp2ejCbQhm9mLFLKw/nEhaWWlwMkb9vGy/sMinHtf+n8amfWHL8UVKcxbuix3eeZx1/2J7z
+ykjULRiAQg2dtRnF2S9/n3sOI31g2c8++63mM8k3DDxCBix7OllCtAlcTZWUmwp/HhaH7edpnLeY
+yHyRIm0ou5j/Vw/pdEsT4o/bWgbQwubpYC7FGaNzqLbnvEkoyG4qiMamYt32TlW1V7ZZMiB64lof
+xjTPv/5NmZ9hALrOyJdiusS7pbsDuJDonjEqrj3eO71wlb7L66U3BcXXILV5VSK9w0r7DgVle4tJ
+gJQgmoZIIVxCXYwIkm2Mf/16sNes2TaWkg4NDSbwtiIq4I/0V+nS3p3NsAP+lwxpsWaM8HKwO3pE
+RxinC0BvffRYxbIS8Fl3SRtCN9yeSdJnDsGpuJpmqY1XWRlbY/yXA5Ku1u9PG7Jt84rvYxdYnUfo
+Xl7yxuGTPn4QfHJrr4Gmknl36/FO+G99V3DsEIdTPqTyXf8X3er71L/xzP/FYUc9QXJzW4nWkNP4
+T0aUwSTaxc70YU0bkMgSx0e2fNN/w8AeaHk5WLJcl+ZenX+dAweZKr33mBRqWthG2DlYo5gD/DuO
+N1IEK052AeFNi3+BZHlN8SEi1HcWVeGRcobiczQAAQLBna+d2YerUxEr7wCzlLNlov99SKIt1j/1
+6KQhFK5d/C3hQeW06gKORXkCHhdO8i4MlX2O8YRHLV6DS5w1jp5bdHZbX9UztOEe+a7vOqWafZNL
+DNAvqx04dl1Zu0YjYneZ9r5Os0ezQ3pZNAZl4Es/j4vsHaC8Fg6cESGiqKzCkZDkGLdj8RARQQPZ
+Yfi154LsuXHjnrzsDKbnKAwlineM+6QTUNQrKr/m+2Pd6wJ1
 `pragma protect end_protected
 module FifoTfe4Bytes (
   Data,

+ 479 - 88
src/src/WrapFifoChain/FifoTfe4Bytes/FifoTfe4Bytes.vo

@@ -2,7 +2,7 @@
 //All rights reserved.
 //File Title: Post-PnR Simulation Model file
 //Tool Version: V1.9.9.03 (64-bit)
-//Created Time: Fri Nov 22 16:04:44 2024
+//Created Time: Fri Dec 27 14:44:35 2024
 
 `timescale 100 ps/100 ps
 module FifoTfe4Bytes(
@@ -36,34 +36,50 @@ wire Reset;
 wire VCC;
 wire WrClk;
 wire WrEn;
-wire \fifo_inst/n20_5 ;
-wire \fifo_inst/n26_4 ;
-wire \fifo_inst/n297_4 ;
-wire \fifo_inst/rempty_val ;
-wire \fifo_inst/wfull_val_7 ;
+wire \fifo_inst/n24_5 ;
+wire \fifo_inst/n30_4 ;
+wire \fifo_inst/wfull_val ;
 wire \fifo_inst/wfull_val1 ;
 wire \fifo_inst/wfull_val1_0 ;
 wire \fifo_inst/Full_1 ;
 wire \fifo_inst/Equal.wbinnext_0_7 ;
-wire \fifo_inst/rempty_val_8 ;
+wire \fifo_inst/Equal.rgraynext_2_4 ;
+wire \fifo_inst/Equal.rgraynext_3_4 ;
+wire \fifo_inst/Equal.wgraynext_1_4 ;
+wire \fifo_inst/Equal.wgraynext_1_5 ;
+wire \fifo_inst/wfull_val_4 ;
+wire \fifo_inst/wfull_val_5 ;
+wire \fifo_inst/wfull_val_6 ;
+wire \fifo_inst/n449_6 ;
+wire \fifo_inst/rempty_val ;
 wire \fifo_inst/wfull_val1_2 ;
 wire \fifo_inst/wfull_val1_3 ;
 wire \fifo_inst/Full_1_2 ;
 wire \fifo_inst/Full_2 ;
+wire \fifo_inst/n164_1_SUM ;
+wire \fifo_inst/n164_3 ;
+wire \fifo_inst/n165_1_SUM ;
+wire \fifo_inst/n165_3 ;
+wire \fifo_inst/n166_1_SUM ;
+wire \fifo_inst/n166_3 ;
+wire \fifo_inst/n167_1_SUM ;
+wire \fifo_inst/n167_3 ;
+wire \fifo_inst/n168_1_SUM ;
+wire \fifo_inst/n168_3 ;
 wire \fifo_inst/n4_6 ;
 wire \fifo_inst/n9_6 ;
-wire [0:0] \fifo_inst/Equal.rgraynext ;
-wire [0:0] \fifo_inst/Equal.wgraynext ;
-wire [1:0] \fifo_inst/rbin_num_next ;
-wire [1:1] \fifo_inst/Equal.wbinnext ;
+wire [4:0] \fifo_inst/Equal.rgraynext ;
+wire [4:0] \fifo_inst/Equal.wgraynext ;
+wire [5:0] \fifo_inst/rbin_num_next ;
+wire [5:1] \fifo_inst/Equal.wbinnext ;
 wire [1:0] \fifo_inst/reset_r ;
 wire [1:0] \fifo_inst/reset_w ;
-wire [1:0] \fifo_inst/rbin_num ;
-wire [1:0] \fifo_inst/Equal.rq1_wptr ;
-wire [1:0] \fifo_inst/Equal.rq2_wptr ;
-wire [0:0] \fifo_inst/rptr ;
-wire [1:0] \fifo_inst/wptr ;
-wire [0:0] \fifo_inst/Equal.wbin ;
+wire [5:0] \fifo_inst/rbin_num ;
+wire [5:0] \fifo_inst/Equal.rq1_wptr ;
+wire [5:0] \fifo_inst/Equal.rq2_wptr ;
+wire [4:0] \fifo_inst/rptr ;
+wire [5:0] \fifo_inst/wptr ;
+wire [4:0] \fifo_inst/Equal.wbin ;
 VCC VCC_cZ (
   .V(VCC)
 );
@@ -73,57 +89,78 @@ GND GND_cZ (
 GSR GSR (
 	.GSRI(VCC)
 );
-LUT4 \fifo_inst/n20_s1  (
+LUT4 \fifo_inst/n24_s1  (
 	.I0(\fifo_inst/Full_2 ),
 	.I1(\fifo_inst/Full_1_2 ),
 	.I2(\fifo_inst/Full_1 ),
 	.I3(WrEn),
-	.F(\fifo_inst/n20_5 )
+	.F(\fifo_inst/n24_5 )
 );
-defparam \fifo_inst/n20_s1 .INIT=16'h5300;
-LUT3 \fifo_inst/n26_s1  (
+defparam \fifo_inst/n24_s1 .INIT=16'h5300;
+LUT3 \fifo_inst/n30_s1  (
 	.I0(RdEn),
 	.I1(Empty),
 	.I2(\fifo_inst/rempty_val ),
-	.F(\fifo_inst/n26_4 )
-);
-defparam \fifo_inst/n26_s1 .INIT=8'h0E;
-LUT3 \fifo_inst/Equal.rgraynext_0_s0  (
-	.I0(\fifo_inst/rbin_num [0]),
-	.I1(\fifo_inst/rbin_num_next [0]),
-	.I2(\fifo_inst/rbin_num [1]),
-	.F(\fifo_inst/Equal.rgraynext [0])
-);
-defparam \fifo_inst/Equal.rgraynext_0_s0 .INIT=8'h1E;
+	.F(\fifo_inst/n30_4 )
+);
+defparam \fifo_inst/n30_s1 .INIT=8'h0E;
+LUT3 \fifo_inst/Equal.rgraynext_2_s0  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.F(\fifo_inst/Equal.rgraynext [2])
+);
+defparam \fifo_inst/Equal.rgraynext_2_s0 .INIT=8'h1E;
+LUT4 \fifo_inst/Equal.rgraynext_4_s0  (
+	.I0(\fifo_inst/Equal.rgraynext_3_4 ),
+	.I1(\fifo_inst/rbin_num [3]),
+	.I2(\fifo_inst/rbin_num [4]),
+	.I3(\fifo_inst/rbin_num [5]),
+	.F(\fifo_inst/Equal.rgraynext [4])
+);
+defparam \fifo_inst/Equal.rgraynext_4_s0 .INIT=16'h07F8;
 LUT3 \fifo_inst/Equal.wgraynext_0_s0  (
-	.I0(\fifo_inst/Equal.wbin [0]),
-	.I1(\fifo_inst/n20_5 ),
-	.I2(\fifo_inst/wptr [1]),
+	.I0(\fifo_inst/n24_5 ),
+	.I1(\fifo_inst/Equal.wbin [0]),
+	.I2(\fifo_inst/Equal.wbin [1]),
 	.F(\fifo_inst/Equal.wgraynext [0])
 );
 defparam \fifo_inst/Equal.wgraynext_0_s0 .INIT=8'h1E;
-LUT2 \fifo_inst/n297_s1  (
-	.I0(\fifo_inst/reset_w [1]),
-	.I1(\fifo_inst/wfull_val_7 ),
-	.F(\fifo_inst/n297_4 )
-);
-defparam \fifo_inst/n297_s1 .INIT=4'h4;
-LUT4 \fifo_inst/rempty_val_s3  (
-	.I0(\fifo_inst/Equal.rq2_wptr [1]),
-	.I1(\fifo_inst/rempty_val_8 ),
-	.I2(\fifo_inst/Equal.rq2_wptr [0]),
-	.I3(\fifo_inst/rbin_num_next [0]),
-	.F(\fifo_inst/rempty_val )
-);
-defparam \fifo_inst/rempty_val_s3 .INIT=16'h4221;
-LUT4 \fifo_inst/wfull_val_s3  (
-	.I0(\fifo_inst/wptr [0]),
-	.I1(\fifo_inst/wptr [1]),
-	.I2(\fifo_inst/rbin_num [1]),
-	.I3(\fifo_inst/rptr [0]),
-	.F(\fifo_inst/wfull_val_7 )
-);
-defparam \fifo_inst/wfull_val_s3 .INIT=16'h1428;
+LUT3 \fifo_inst/Equal.wgraynext_1_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wgraynext_1_5 ),
+	.I2(\fifo_inst/Equal.wbinnext [1]),
+	.F(\fifo_inst/Equal.wgraynext [1])
+);
+defparam \fifo_inst/Equal.wgraynext_1_s0 .INIT=8'hE1;
+LUT2 \fifo_inst/Equal.wgraynext_2_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_5 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.F(\fifo_inst/Equal.wgraynext [2])
+);
+defparam \fifo_inst/Equal.wgraynext_2_s0 .INIT=4'h9;
+LUT3 \fifo_inst/Equal.wgraynext_3_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.F(\fifo_inst/Equal.wgraynext [3])
+);
+defparam \fifo_inst/Equal.wgraynext_3_s0 .INIT=8'h1E;
+LUT4 \fifo_inst/Equal.wgraynext_4_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.I3(\fifo_inst/wptr [5]),
+	.F(\fifo_inst/Equal.wgraynext [4])
+);
+defparam \fifo_inst/Equal.wgraynext_4_s0 .INIT=16'h07F8;
+LUT3 \fifo_inst/wfull_val_s0  (
+	.I0(\fifo_inst/wfull_val_4 ),
+	.I1(\fifo_inst/wfull_val_5 ),
+	.I2(\fifo_inst/wfull_val_6 ),
+	.F(\fifo_inst/wfull_val )
+);
+defparam \fifo_inst/wfull_val_s0 .INIT=8'h80;
 LUT3 \fifo_inst/wfull_val1_s9  (
 	.I0(\fifo_inst/wfull_val1_3 ),
 	.I1(\fifo_inst/wfull_val1_2 ),
@@ -132,8 +169,8 @@ LUT3 \fifo_inst/wfull_val1_s9  (
 );
 defparam \fifo_inst/wfull_val1_s9 .INIT=8'hAC;
 LUT3 \fifo_inst/wfull_val1_s10  (
-	.I0(\fifo_inst/wfull_val_7 ),
-	.I1(\fifo_inst/wfull_val1_0 ),
+	.I0(\fifo_inst/wfull_val1_0 ),
+	.I1(\fifo_inst/wfull_val ),
 	.I2(\fifo_inst/reset_w [1]),
 	.F(\fifo_inst/wfull_val1_0 )
 );
@@ -146,8 +183,8 @@ LUT3 \fifo_inst/Full_d_s  (
 );
 defparam \fifo_inst/Full_d_s .INIT=8'hAC;
 LUT3 \fifo_inst/Full_s8  (
-	.I0(\fifo_inst/wfull_val_7 ),
-	.I1(\fifo_inst/Full_1 ),
+	.I0(\fifo_inst/Full_1 ),
+	.I1(\fifo_inst/wfull_val ),
 	.I2(\fifo_inst/reset_w [1]),
 	.F(\fifo_inst/Full_1 )
 );
@@ -159,34 +196,175 @@ LUT3 \fifo_inst/rbin_num_next_0_s5  (
 	.F(\fifo_inst/rbin_num_next [0])
 );
 defparam \fifo_inst/rbin_num_next_0_s5 .INIT=8'hB4;
-LUT3 \fifo_inst/rbin_num_next_1_s2  (
-	.I0(\fifo_inst/rbin_num_next [0]),
-	.I1(\fifo_inst/rbin_num [0]),
-	.I2(\fifo_inst/rbin_num [1]),
+LUT4 \fifo_inst/rbin_num_next_1_s5  (
+	.I0(Empty),
+	.I1(RdEn),
+	.I2(\fifo_inst/rbin_num [0]),
+	.I3(\fifo_inst/rbin_num [1]),
 	.F(\fifo_inst/rbin_num_next [1])
 );
-defparam \fifo_inst/rbin_num_next_1_s2 .INIT=8'hB4;
+defparam \fifo_inst/rbin_num_next_1_s5 .INIT=16'hBF40;
+LUT2 \fifo_inst/rbin_num_next_2_s5  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.F(\fifo_inst/rbin_num_next [2])
+);
+defparam \fifo_inst/rbin_num_next_2_s5 .INIT=4'h6;
+LUT4 \fifo_inst/rbin_num_next_5_s2  (
+	.I0(\fifo_inst/Equal.rgraynext_3_4 ),
+	.I1(\fifo_inst/rbin_num [3]),
+	.I2(\fifo_inst/rbin_num [4]),
+	.I3(\fifo_inst/rbin_num [5]),
+	.F(\fifo_inst/rbin_num_next [5])
+);
+defparam \fifo_inst/rbin_num_next_5_s2 .INIT=16'h7F80;
 LUT2 \fifo_inst/Equal.wbinnext_0_s3  (
-	.I0(\fifo_inst/Equal.wbin [0]),
-	.I1(\fifo_inst/n20_5 ),
+	.I0(\fifo_inst/n24_5 ),
+	.I1(\fifo_inst/Equal.wbin [0]),
 	.F(\fifo_inst/Equal.wbinnext_0_7 )
 );
 defparam \fifo_inst/Equal.wbinnext_0_s3 .INIT=4'h6;
-LUT3 \fifo_inst/Equal.wbinnext_1_s2  (
-	.I0(\fifo_inst/Equal.wbin [0]),
-	.I1(\fifo_inst/n20_5 ),
-	.I2(\fifo_inst/wptr [1]),
+LUT3 \fifo_inst/Equal.wbinnext_1_s3  (
+	.I0(\fifo_inst/n24_5 ),
+	.I1(\fifo_inst/Equal.wbin [0]),
+	.I2(\fifo_inst/Equal.wbin [1]),
 	.F(\fifo_inst/Equal.wbinnext [1])
 );
-defparam \fifo_inst/Equal.wbinnext_1_s2 .INIT=8'h78;
-LUT4 \fifo_inst/rempty_val_s4  (
-	.I0(\fifo_inst/Equal.rq2_wptr [0]),
-	.I1(\fifo_inst/Equal.rq2_wptr [1]),
-	.I2(\fifo_inst/rbin_num [1]),
-	.I3(\fifo_inst/rbin_num [0]),
-	.F(\fifo_inst/rempty_val_8 )
+defparam \fifo_inst/Equal.wbinnext_1_s3 .INIT=8'h78;
+LUT2 \fifo_inst/Equal.wbinnext_2_s3  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wgraynext_1_5 ),
+	.F(\fifo_inst/Equal.wbinnext [2])
+);
+defparam \fifo_inst/Equal.wbinnext_2_s3 .INIT=4'h1;
+LUT2 \fifo_inst/Equal.wbinnext_3_s3  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.F(\fifo_inst/Equal.wbinnext [3])
+);
+defparam \fifo_inst/Equal.wbinnext_3_s3 .INIT=4'h6;
+LUT3 \fifo_inst/Equal.wbinnext_4_s3  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.F(\fifo_inst/Equal.wbinnext [4])
+);
+defparam \fifo_inst/Equal.wbinnext_4_s3 .INIT=8'h78;
+LUT4 \fifo_inst/Equal.wbinnext_5_s2  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.I3(\fifo_inst/wptr [5]),
+	.F(\fifo_inst/Equal.wbinnext [5])
+);
+defparam \fifo_inst/Equal.wbinnext_5_s2 .INIT=16'h7F80;
+LUT4 \fifo_inst/Equal.rgraynext_2_s1  (
+	.I0(Empty),
+	.I1(RdEn),
+	.I2(\fifo_inst/rbin_num [0]),
+	.I3(\fifo_inst/rbin_num [1]),
+	.F(\fifo_inst/Equal.rgraynext_2_4 )
+);
+defparam \fifo_inst/Equal.rgraynext_2_s1 .INIT=16'h4000;
+LUT2 \fifo_inst/Equal.rgraynext_3_s1  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.F(\fifo_inst/Equal.rgraynext_3_4 )
+);
+defparam \fifo_inst/Equal.rgraynext_3_s1 .INIT=4'h8;
+LUT4 \fifo_inst/Equal.wgraynext_1_s1  (
+	.I0(\fifo_inst/n24_5 ),
+	.I1(\fifo_inst/Equal.wbin [0]),
+	.I2(\fifo_inst/Equal.wbin [1]),
+	.I3(\fifo_inst/Equal.wbin [2]),
+	.F(\fifo_inst/Equal.wgraynext_1_4 )
+);
+defparam \fifo_inst/Equal.wgraynext_1_s1 .INIT=16'h8000;
+LUT4 \fifo_inst/Equal.wgraynext_1_s2  (
+	.I0(\fifo_inst/Equal.wbin [0]),
+	.I1(\fifo_inst/Equal.wbin [1]),
+	.I2(\fifo_inst/n24_5 ),
+	.I3(\fifo_inst/Equal.wbin [2]),
+	.F(\fifo_inst/Equal.wgraynext_1_5 )
+);
+defparam \fifo_inst/Equal.wgraynext_1_s2 .INIT=16'h007F;
+LUT4 \fifo_inst/wfull_val_s1  (
+	.I0(\fifo_inst/wptr [0]),
+	.I1(\fifo_inst/rptr [0]),
+	.I2(\fifo_inst/wptr [4]),
+	.I3(\fifo_inst/rptr [4]),
+	.F(\fifo_inst/wfull_val_4 )
+);
+defparam \fifo_inst/wfull_val_s1 .INIT=16'h0990;
+LUT4 \fifo_inst/wfull_val_s2  (
+	.I0(\fifo_inst/wptr [3]),
+	.I1(\fifo_inst/rptr [3]),
+	.I2(\fifo_inst/wptr [5]),
+	.I3(\fifo_inst/rbin_num [5]),
+	.F(\fifo_inst/wfull_val_5 )
+);
+defparam \fifo_inst/wfull_val_s2 .INIT=16'h0990;
+LUT4 \fifo_inst/wfull_val_s3  (
+	.I0(\fifo_inst/wptr [1]),
+	.I1(\fifo_inst/rptr [1]),
+	.I2(\fifo_inst/wptr [2]),
+	.I3(\fifo_inst/rptr [2]),
+	.F(\fifo_inst/wfull_val_6 )
+);
+defparam \fifo_inst/wfull_val_s3 .INIT=16'h9009;
+LUT4 \fifo_inst/Equal.rgraynext_0_s1  (
+	.I0(Empty),
+	.I1(RdEn),
+	.I2(\fifo_inst/rbin_num [0]),
+	.I3(\fifo_inst/rbin_num_next [1]),
+	.F(\fifo_inst/Equal.rgraynext [0])
 );
-defparam \fifo_inst/rempty_val_s4 .INIT=16'h871E;
+defparam \fifo_inst/Equal.rgraynext_0_s1 .INIT=16'h4BB4;
+LUT3 \fifo_inst/Equal.rgraynext_1_s1  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num_next [1]),
+	.F(\fifo_inst/Equal.rgraynext [1])
+);
+defparam \fifo_inst/Equal.rgraynext_1_s1 .INIT=8'h96;
+LUT4 \fifo_inst/rbin_num_next_4_s6  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.I3(\fifo_inst/rbin_num [4]),
+	.F(\fifo_inst/rbin_num_next [4])
+);
+defparam \fifo_inst/rbin_num_next_4_s6 .INIT=16'h7F80;
+LUT3 \fifo_inst/rbin_num_next_3_s6  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.F(\fifo_inst/rbin_num_next [3])
+);
+defparam \fifo_inst/rbin_num_next_3_s6 .INIT=8'h78;
+LUT4 \fifo_inst/Equal.rgraynext_3_s2  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.I3(\fifo_inst/rbin_num [4]),
+	.F(\fifo_inst/Equal.rgraynext [3])
+);
+defparam \fifo_inst/Equal.rgraynext_3_s2 .INIT=16'h07F8;
+LUT4 \fifo_inst/n449_s2  (
+	.I0(\fifo_inst/reset_w [1]),
+	.I1(\fifo_inst/wfull_val_4 ),
+	.I2(\fifo_inst/wfull_val_5 ),
+	.I3(\fifo_inst/wfull_val_6 ),
+	.F(\fifo_inst/n449_6 )
+);
+defparam \fifo_inst/n449_s2 .INIT=16'h4000;
+LUT3 \fifo_inst/rempty_val_s2  (
+	.I0(\fifo_inst/rbin_num_next [5]),
+	.I1(\fifo_inst/Equal.rq2_wptr [5]),
+	.I2(\fifo_inst/n168_3 ),
+	.F(\fifo_inst/rempty_val )
+);
+defparam \fifo_inst/rempty_val_s2 .INIT=8'h09;
 DFFP \fifo_inst/reset_r_0_s0  (
 	.D(GND),
 	.CLK(\fifo_inst/n4_6 ),
@@ -208,6 +386,34 @@ DFFP \fifo_inst/reset_w_0_s0  (
 	.Q(\fifo_inst/reset_w [0])
 );
 defparam \fifo_inst/reset_w_0_s0 .INIT=1'b1;
+DFFC \fifo_inst/rbin_num_5_s0  (
+	.D(\fifo_inst/rbin_num_next [5]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rbin_num [5])
+);
+defparam \fifo_inst/rbin_num_5_s0 .INIT=1'b0;
+DFFC \fifo_inst/rbin_num_4_s0  (
+	.D(\fifo_inst/rbin_num_next [4]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rbin_num [4])
+);
+defparam \fifo_inst/rbin_num_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/rbin_num_3_s0  (
+	.D(\fifo_inst/rbin_num_next [3]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rbin_num [3])
+);
+defparam \fifo_inst/rbin_num_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/rbin_num_2_s0  (
+	.D(\fifo_inst/rbin_num_next [2]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rbin_num [2])
+);
+defparam \fifo_inst/rbin_num_2_s0 .INIT=1'b0;
 DFFC \fifo_inst/rbin_num_1_s0  (
 	.D(\fifo_inst/rbin_num_next [1]),
 	.CLK(RdClk),
@@ -222,6 +428,34 @@ DFFC \fifo_inst/rbin_num_0_s0  (
 	.Q(\fifo_inst/rbin_num [0])
 );
 defparam \fifo_inst/rbin_num_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq1_wptr_5_s0  (
+	.D(\fifo_inst/wptr [5]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq1_wptr [5])
+);
+defparam \fifo_inst/Equal.rq1_wptr_5_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq1_wptr_4_s0  (
+	.D(\fifo_inst/wptr [4]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq1_wptr [4])
+);
+defparam \fifo_inst/Equal.rq1_wptr_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq1_wptr_3_s0  (
+	.D(\fifo_inst/wptr [3]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq1_wptr [3])
+);
+defparam \fifo_inst/Equal.rq1_wptr_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq1_wptr_2_s0  (
+	.D(\fifo_inst/wptr [2]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq1_wptr [2])
+);
+defparam \fifo_inst/Equal.rq1_wptr_2_s0 .INIT=1'b0;
 DFFC \fifo_inst/Equal.rq1_wptr_1_s0  (
 	.D(\fifo_inst/wptr [1]),
 	.CLK(RdClk),
@@ -236,6 +470,34 @@ DFFC \fifo_inst/Equal.rq1_wptr_0_s0  (
 	.Q(\fifo_inst/Equal.rq1_wptr [0])
 );
 defparam \fifo_inst/Equal.rq1_wptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq2_wptr_5_s0  (
+	.D(\fifo_inst/Equal.rq1_wptr [5]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq2_wptr [5])
+);
+defparam \fifo_inst/Equal.rq2_wptr_5_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq2_wptr_4_s0  (
+	.D(\fifo_inst/Equal.rq1_wptr [4]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq2_wptr [4])
+);
+defparam \fifo_inst/Equal.rq2_wptr_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq2_wptr_3_s0  (
+	.D(\fifo_inst/Equal.rq1_wptr [3]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq2_wptr [3])
+);
+defparam \fifo_inst/Equal.rq2_wptr_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq2_wptr_2_s0  (
+	.D(\fifo_inst/Equal.rq1_wptr [2]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq2_wptr [2])
+);
+defparam \fifo_inst/Equal.rq2_wptr_2_s0 .INIT=1'b0;
 DFFC \fifo_inst/Equal.rq2_wptr_1_s0  (
 	.D(\fifo_inst/Equal.rq1_wptr [1]),
 	.CLK(RdClk),
@@ -250,6 +512,34 @@ DFFC \fifo_inst/Equal.rq2_wptr_0_s0  (
 	.Q(\fifo_inst/Equal.rq2_wptr [0])
 );
 defparam \fifo_inst/Equal.rq2_wptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/rptr_4_s0  (
+	.D(\fifo_inst/Equal.rgraynext [4]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rptr [4])
+);
+defparam \fifo_inst/rptr_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/rptr_3_s0  (
+	.D(\fifo_inst/Equal.rgraynext [3]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rptr [3])
+);
+defparam \fifo_inst/rptr_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/rptr_2_s0  (
+	.D(\fifo_inst/Equal.rgraynext [2]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rptr [2])
+);
+defparam \fifo_inst/rptr_2_s0 .INIT=1'b0;
+DFFC \fifo_inst/rptr_1_s0  (
+	.D(\fifo_inst/Equal.rgraynext [1]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rptr [1])
+);
+defparam \fifo_inst/rptr_1_s0 .INIT=1'b0;
 DFFC \fifo_inst/rptr_0_s0  (
 	.D(\fifo_inst/Equal.rgraynext [0]),
 	.CLK(RdClk),
@@ -257,8 +547,36 @@ DFFC \fifo_inst/rptr_0_s0  (
 	.Q(\fifo_inst/rptr [0])
 );
 defparam \fifo_inst/rptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/wptr_5_s0  (
+	.D(\fifo_inst/Equal.wbinnext [5]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/wptr [5])
+);
+defparam \fifo_inst/wptr_5_s0 .INIT=1'b0;
+DFFC \fifo_inst/wptr_4_s0  (
+	.D(\fifo_inst/Equal.wgraynext [4]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/wptr [4])
+);
+defparam \fifo_inst/wptr_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/wptr_3_s0  (
+	.D(\fifo_inst/Equal.wgraynext [3]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/wptr [3])
+);
+defparam \fifo_inst/wptr_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/wptr_2_s0  (
+	.D(\fifo_inst/Equal.wgraynext [2]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/wptr [2])
+);
+defparam \fifo_inst/wptr_2_s0 .INIT=1'b0;
 DFFC \fifo_inst/wptr_1_s0  (
-	.D(\fifo_inst/Equal.wbinnext [1]),
+	.D(\fifo_inst/Equal.wgraynext [1]),
 	.CLK(WrClk),
 	.CLEAR(\fifo_inst/reset_w [1]),
 	.Q(\fifo_inst/wptr [1])
@@ -271,6 +589,34 @@ DFFC \fifo_inst/wptr_0_s0  (
 	.Q(\fifo_inst/wptr [0])
 );
 defparam \fifo_inst/wptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.wbin_4_s0  (
+	.D(\fifo_inst/Equal.wbinnext [4]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/Equal.wbin [4])
+);
+defparam \fifo_inst/Equal.wbin_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.wbin_3_s0  (
+	.D(\fifo_inst/Equal.wbinnext [3]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/Equal.wbin [3])
+);
+defparam \fifo_inst/Equal.wbin_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.wbin_2_s0  (
+	.D(\fifo_inst/Equal.wbinnext [2]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/Equal.wbin [2])
+);
+defparam \fifo_inst/Equal.wbin_2_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.wbin_1_s0  (
+	.D(\fifo_inst/Equal.wbinnext [1]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/Equal.wbin [1])
+);
+defparam \fifo_inst/Equal.wbin_1_s0 .INIT=1'b0;
 DFFC \fifo_inst/Equal.wbin_0_s0  (
 	.D(\fifo_inst/Equal.wbinnext_0_7 ),
 	.CLK(WrClk),
@@ -293,16 +639,16 @@ DFFP \fifo_inst/reset_r_1_s0  (
 );
 defparam \fifo_inst/reset_r_1_s0 .INIT=1'b1;
 DFFC \fifo_inst/wfull_val1_s0  (
-	.D(\fifo_inst/wfull_val_7 ),
+	.D(\fifo_inst/wfull_val ),
 	.CLK(WrClk),
 	.CLEAR(\fifo_inst/reset_w [1]),
 	.Q(\fifo_inst/wfull_val1_2 )
 );
 defparam \fifo_inst/wfull_val1_s0 .INIT=1'b0;
 DFFP \fifo_inst/wfull_val1_s1  (
-	.D(\fifo_inst/wfull_val_7 ),
+	.D(\fifo_inst/wfull_val ),
 	.CLK(WrClk),
-	.PRESET(\fifo_inst/n297_4 ),
+	.PRESET(\fifo_inst/n449_6 ),
 	.Q(\fifo_inst/wfull_val1_3 )
 );
 defparam \fifo_inst/wfull_val1_s1 .INIT=1'b1;
@@ -316,23 +662,23 @@ defparam \fifo_inst/Full_s0 .INIT=1'b0;
 DFFP \fifo_inst/Full_s1  (
 	.D(\fifo_inst/wfull_val1 ),
 	.CLK(WrClk),
-	.PRESET(\fifo_inst/n297_4 ),
+	.PRESET(\fifo_inst/n449_6 ),
 	.Q(\fifo_inst/Full_2 )
 );
 defparam \fifo_inst/Full_s1 .INIT=1'b1;
 SDPB \fifo_inst/Equal.mem_Equal.mem_0_0_s  (
 	.CLKA(WrClk),
-	.CEA(\fifo_inst/n20_5 ),
+	.CEA(\fifo_inst/n24_5 ),
 	.RESETA(GND),
 	.CLKB(RdClk),
-	.CEB(\fifo_inst/n26_4 ),
+	.CEB(\fifo_inst/n30_4 ),
 	.RESETB(\fifo_inst/reset_r [1]),
 	.OCE(GND),
 	.BLKSELA({GND, GND, GND}),
 	.BLKSELB({GND, GND, GND}),
 	.DI({Data[31:0]}),
-	.ADA({GND, GND, GND, GND, GND, GND, GND, GND, \fifo_inst/Equal.wbin [0], GND, VCC, VCC, VCC, VCC}),
-	.ADB({GND, GND, GND, GND, GND, GND, GND, GND, \fifo_inst/rbin_num_next [0], GND, GND, GND, GND, GND}),
+	.ADA({GND, GND, GND, GND, \fifo_inst/Equal.wbin [4:0], GND, VCC, VCC, VCC, VCC}),
+	.ADB({GND, GND, GND, GND, \fifo_inst/rbin_num_next [4:0], GND, GND, GND, GND, GND}),
 	.DO({Q[31:0]})
 );
 defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .READ_MODE=1'b0;
@@ -341,6 +687,51 @@ defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BIT_WIDTH_1=32;
 defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .RESET_MODE="ASYNC";
 defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BLK_SEL_0=3'b000;
 defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BLK_SEL_1=3'b000;
+ALU \fifo_inst/n164_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [0]),
+	.I1(\fifo_inst/Equal.rq2_wptr [0]),
+	.I3(GND),
+	.CIN(GND),
+	.COUT(\fifo_inst/n164_3 ),
+	.SUM(\fifo_inst/n164_1_SUM )
+);
+defparam \fifo_inst/n164_s0 .ALU_MODE=3;
+ALU \fifo_inst/n165_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [1]),
+	.I1(\fifo_inst/Equal.rq2_wptr [1]),
+	.I3(GND),
+	.CIN(\fifo_inst/n164_3 ),
+	.COUT(\fifo_inst/n165_3 ),
+	.SUM(\fifo_inst/n165_1_SUM )
+);
+defparam \fifo_inst/n165_s0 .ALU_MODE=3;
+ALU \fifo_inst/n166_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [2]),
+	.I1(\fifo_inst/Equal.rq2_wptr [2]),
+	.I3(GND),
+	.CIN(\fifo_inst/n165_3 ),
+	.COUT(\fifo_inst/n166_3 ),
+	.SUM(\fifo_inst/n166_1_SUM )
+);
+defparam \fifo_inst/n166_s0 .ALU_MODE=3;
+ALU \fifo_inst/n167_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [3]),
+	.I1(\fifo_inst/Equal.rq2_wptr [3]),
+	.I3(GND),
+	.CIN(\fifo_inst/n166_3 ),
+	.COUT(\fifo_inst/n167_3 ),
+	.SUM(\fifo_inst/n167_1_SUM )
+);
+defparam \fifo_inst/n167_s0 .ALU_MODE=3;
+ALU \fifo_inst/n168_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [4]),
+	.I1(\fifo_inst/Equal.rq2_wptr [4]),
+	.I3(GND),
+	.CIN(\fifo_inst/n167_3 ),
+	.COUT(\fifo_inst/n168_3 ),
+	.SUM(\fifo_inst/n168_1_SUM )
+);
+defparam \fifo_inst/n168_s0 .ALU_MODE=3;
 INV \fifo_inst/n4_s2  (
 	.I(RdClk),
 	.O(\fifo_inst/n4_6 )

+ 1 - 1
src/src/WrapFifoChain/FifoTfe4Bytes/FifoTfe4Bytes_tmp.v

@@ -4,7 +4,7 @@
 //Tool Version: V1.9.9.03 (64-bit)
 //Part Number: GW1N-UV9QN88C6/I5
 //Device: GW1N-9
-//Created Time: Fri Nov 22 16:04:43 2024
+//Created Time: Fri Dec 27 14:44:35 2024
 
 //Change the instance name and port connections to the signal names
 //--------Copy here to design--------

+ 260 - 118
src/src/WrapFifoChain/FifoTfe4Bytes/temp/FIFOHS/FifoTfe4Bytes.vg

@@ -1,7 +1,7 @@
 //
 //Written by GowinSynthesis
 //Tool Version "V1.9.9.03 (64-bit)"
-//Fri Nov 22 16:04:43 2024
+//Fri Dec 27 14:44:35 2024
 
 //Source file index table:
 //file0 "\C:/Gowin/Gowin_V1.9.9.03_x64/IDE/ipcore/FIFO_HS/data/fifo_hs.v"
@@ -17,128 +17,270 @@
 `pragma protect encoding=(enctype="base64", line_length=76, bytes=256)
 `pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
 `pragma protect key_block
-j6k58xrOQ8NiTqxpDvExvVWMNOVIR/lcfZqrbnK1rZSnt+h2pkYESg+2+aOY7tIb67oNaN/2soOE
-ITv8IjkNrSdsNbdZ+Wty97ml+ogpICKi4iMdF99xjcvQl1y1gQtGpW8fqnvb9a8mnGIb4Pa90SnS
-M+29p3fwauL859715opNNp6auo2V7pE9bcCthLizzJN8uryiF1wJmkP9mt/fp0v7KbgH7ggBJBER
-t3i749XXkP6myjatDfHepUHirhr7yIABPJNPzEjSjMUQkpQ+l5fA8CFSQxlhN29R68s+5usIngUe
-88Ko0yI0dHTZsEVnleAM44Snle2GJb1wBpYyRQ==
+lyDz1sIVud0rbn20CoO7YfNHYzR0rh2fu8ZWZu8MNTOo5KrcXVOGSUHadVkq15yT1AjuuNdnsadu
+YKwKmxybVXEppU0LjOgs5rcfZy7SAP0G8cCyt0N78E9dH0+T3abomkk1neDatCaeW/r/iUa3QNx6
+gUCobgva/Hu49tVUCPNoxzZIDsAFS0aN7lCJn2EXP1ufQI7ZgWo6MWUrw3ZyzS1PLR10a4tOGF3x
+0GDZiugDNaGapqRsIf8vlv4iPo0JjyHWNvMHy91vSRyClkYe1kLGlPMwScUq3hBwX03tMQNga4Fz
+b4npEuMcMBagRMB8TW6R0LjClwhB+N02aLBR3Q==
 
-`pragma protect encoding=(enctype="base64", line_length=76, bytes=6288)
+`pragma protect encoding=(enctype="base64", line_length=76, bytes=14400)
 `pragma protect data_keyowner="default-ip-vendor"
 `pragma protect data_keyname="default-ip-key"
 `pragma protect data_method="aes128-cfb"
 `pragma protect data_block
-UX+Et4hEN9unjLWf4oUGOwvqRCAjUUFSha8w2dB87WFfUzd1xA71VNDlO5X4iuxoOiLhLC34oQYS
-T3aY+OJ+100LgEmGX7Oe4CjO2yzxau+E7bqJ2BZsQ0X7xSrwWDewnyAm7wJpbaChz6M+mc8ZukJc
-dSI3vdzdOGqLwdpY+ytFKQgrOmcayEbZGlmIHuL+wMb4wmrQZMVofr5xbOOe5+kCzPv2eW3ndiDR
-fdvbbCGCZ3Wrsi6eXE9pgPrsdYvKBaD75Co7wmmZfjX8akSauejkczMLI3ajX28xcYu05uoTmjTN
-UKA89AmqNdBel8gS9hCVHQH+B/6lurhCHIpne4vFPsN+3ZjZ0XeZllKxQHE2f1qbnuaGZtvpkA/L
-s/1OvbYxW+SznGIVGB/6eEF3AtoAFI3bGba2AT0XkRV1fmyF3EdMcBKQx/Elxye+eCNqzO+sVOHL
-q7LxRMI4DI3ZT4lVzrwkVjqnHM0ifANiR6KwXj/3vkY1WdMd5JllLpyk4iz6+m+vv45MZEco1N0x
-N96kCl+zjTUyas2gyNWBbRpSQa1h5E/bLZkz9+U5VxSM20Dwzw53IpQ5c9XzuhlPRwrh0TkE+Tsl
-yqPPo4XFUuFvK9NeWVNkYmxkQsBXXmm30SYiygTfPJVxexvn1X4lYoX3/+6CcJtF5DN0nOb1YOwG
-cjMClObsNb2xbi33YTpj6MeYKXw4azurRv1K7YsaWJ640yhEtQk6B7+EjnZy6aDFpkEOukKVO501
-VGyaZ2TqTykenM3jF3IfQI81cxawNePdW4rqbasVPjypjPW/xYeWLrfrBFpOfqhOnK0X7ceXdyNK
-XX2m4d7Lrb9X6pBKuldo+bCNQEcEas1zmt9bLHcAoGZi55KYymFhOdFXNEKr8srMXNs8RvLtjL87
-fxEMLIfHYoeLtMzQ/dYP32qOqeFe6b47i8zIXHjAbRS55YgTn55znRl+yjpZkauR8P/pTQV8dhho
-MB/VhIYawBtZ8sv2JvepO/ibRQAAoNYwRjjdKBFMgU89sLooo5kheoWI3ra1jkfDqSVFFkPAbUv2
-G3i8uk8vV7yMDaZjE7p854M42jvIVjTP57+SUc05W92Bf8lJ+1CHH4I/CQPycJ+lcRcMbe7pxvHB
-YLFp6pUZmAsLceRyQciUW+ODDt3nM/om+SrQZLmEgEURW3bZ3qQ+kW03Zluls6++HNm8+7V0hZFb
-VLf3xT4Yo70VA66qxnUnBbsuCZtmI4JWBh9F4m65m8hLHhxV7GUHTt0fkQmtqC4O0RI6uAwfA21p
-btPxjxP90Rei0fsSqOrhhS203HPXYtfKR4G/trDAV+qG9Oam7nf0SzL3Njvm/sD9UtOpoLOQNAS1
-PsPCSr8Wpk17tbn22gPbo9a4KfRucxdRxah+nx3vjv0QzCCxhvXiaMPBboRjkEziZFrNyVF14esD
-5Yay+D3XdlG6g59D9/l15La0rACVyNoNVhHJVUDpMscPLSIBU1jD4xJeOU/YILhd3Z6KcUy2HjZm
-OuJa/mOCHQ3U+pXSonnjEAcIzCu/SfvgARRAQLHEREEZc3i2tNCfUa32z9uHfrwdCtyGrhVyQxTX
-Kh2LBt/C/IEC51O57XfWRZdDclT7UdMhVR6zuNY0x1pr41UxDvRefxOo6dtHuCqH+59LY9ysXVXX
-inLKWkQNe07UpixbC4O8erud5DyjpuHJBpc4NG5z7x3earAv0fZDfZW7uDHxZ1y+9IDUGu11vHYJ
-k7QqMlEQZxic44loJMjTWuYz0ZpTI0i6ofzdkiJo0s29ThLhnmzuoXV/pSQqdgEWXxXBXKwLM+jW
-RyS4sdNw1WBDNbgQVQEW4aoFky4Qf8Fk2sewwLEb/0RRUXnvWm1YbS2a1vOYBDl4SUdFdaitp7dr
-cBwtCnCg6FNExYSc1z1CKb5+D0jcjv5ymtZPT2tKJACl/9bt/EITOA4xD6/8qVZgxszIa88OtL0i
-biOSy3H7ihTeL8opqL6uYScS+eR21utB3kxKYenLhVZOIy98h9o1y0JW/QaeKkgUNtu4gYnuO0yE
-G7hEVTmBcBXoj2C2MPP2I21sJjxkhoXS79HjA1tsUzyrPsqFlJCnVWuC8KKRMO9TQa6t/7Q/QEag
-cdasd8j2Bei70H7CxH/P7nvdm0TpmO26hZeH0pT6E3bGv5HgnH2MM2a9r9nxa00tlxuy5oGw0lXM
-jYdmbtDKLW7/1Z9E0lx38rXkPYOVbqazybOwbU0rmctENFuWKhwgZIMzs1NHUj7GhTVvtnqmWgbI
-tk+Q5kcAOHk8fXKT8yToNeAwAIAT3ar4+tBb3J/NoQNZw9QiMfRsUcjaCr9hI1yeu37tj8qw7F7y
-ZPsCD1qgd6zdX9MXD8KXY9d8lZUEoNdvl2tFHcgzr9aUtlkwXASFxWCE4neC8AhoZCgMgAe7u73I
-eaLQm9+l2zEZFFkrl4fZtBcItRsePMoZGaTmYzgYM+gcwJIkdvNIgAQhcrrbM0rNkosdjNfOY9Ky
-o4iZOmouVopNCAGHT31464WGBUbkNq/lOUD0NIlpmVLWzCxlxo81rFlomfnMMVij++k/YoC+UoD6
-7jXOsM4Tk01Akcj/vw7KlIf0FZBUmR2+/KBFJ/uJq3jiY33teEkH45WiYUqpThn88Jp9NY8C3IkX
-0U5RHvS1PibsugoAGGjybyHdtG9n60ttsYpZTa9aD35+XRaNzLBdixw/YlHooRDUVrMxwMs277vx
-6OMMerz3l15w3VN4+NVSxtTnDXmXI6JJ0na1JM4dtEFcCjkg+mNP5dvv9IEXwJPvxhmBnuUSYKtM
-iS6Os/TAI7CMh6Pgz85kJEi4OV+rYcHChJk3nYqX4krk29cZevsSA/Thb2YXMHnrrZMd+9W74uAp
-YG9DBmw9FTlL3EjmXeZpMucrjlWAyq5w+S81ZmlWB+gbM4gm3qSKAzDVOZpJ3Jfpu+6oFHBqUOQr
-X5hJxnpUE5gdJF1CITiEIwiveIecQ3yGrVAr1QIZDgQdn21Ql7rfk6l5OeW86uR6aEyaKpfBkR51
-BKdbiQsfXHnykaP/ZecKy06WvJYGNDRVr4rg2Ms4p6oknEmq9Dj/2l2kzkU0qmk5h2dU+Tq3K7XB
-2OV/wUiXcd8AEv59rt2HNN+tks7/UJAg1hK0uQ1MfK2qHQbqwAKLWa+3NsBmUR8kK0y8B/kr3Ga1
-2VRV3RVYmPTIvleSSmyVC2etlXu2hvwA6hRVt2ocVPe/qISB6hdzcE+P3fFtINpiVYIT8Cqme5Dh
-J3KVUrvMRru4q1CFbqKdgg0EwuCUtWWzsgEK72HzlNyN8by/Ml5Npgg5mrEhMv9rufI815kRuubw
-SytPc+K3xX2GQCp8PEdkOLHzSVvxxlWZHjoaYe484BqLP7P0Ay+JH7I4UpisidYgUEOm+G3mDEep
-/EFFkQyrc/0EaUBuBGy1qsV6cHFuoVxulLUbCeyUptMESPTBM0xsqUuRleOD4WE5jrEoy+e2p55M
-IDVc9NyeMGGnV/q2GoY0cVZ5yK/eCwISJ7ui6vy1V6J3ssPje/ps92hf9doybsxerRzGZMMsOSwc
-GCfmv1MW0/0p/uIcog9mxqm4406pQRG9hOYWwb9wOD83AxLa2uTymz9rvuzbHIhsWWEnoSyvUeW+
-Xqr2b7OEEbMSTVYEw0RZz1aupU0kZ6rcYChENp2R+yoPry/u0lbFZ4ZFacBeRnDe20pf76SvkLeI
-8jXQFcvHe8rNmZ/qItjzErfcqeuDlVD3j2h+mw3oxASEOF1RH2F9BfevNqayW2cxYIc2WyUBaDzZ
-oEMgXJAQtvIO5Fl2cs5zYsD5uWWzJzDppT6t8uIrwSw5F88Zre+yAee3Q/zTnKOXF+ZovvRITUYi
-8tf/UlNe+WT9E3tKZ7y33NVuB13GmtfaHJ96+YCnHbBIbxnjCLOShc5o8W8h5aYG/9mNLgEk4zkd
-AG1wWvqO/k22IQaJcrHgyfXosaLBhKb1VshntOxjWm6rDF79FLrAmNQftKrSxWT7WyLg0I3Se/4y
-ybOUfGlDWyy4DYGtgNQspih4QycSzHHo3UXAOM/Clry2kEVTstrWWmCuqotylt57hgX5ufUI8o3Y
-hq5fghu34W5a5UBfZSbHZdmpcX3YIZATkLdPoPHZLyR3BmMHfmAfka06MvpSQfWYOsJbgjGD7GHk
-ZDNam/kVSwT+Wfb4AIe/PzeC007w3uNShCSEcCjMCzx45i1GTTaPLwkd6NS0Gdgq8w96SsmbXw16
-PgNfb9v8pwpW3KiqJ9xRNIECZt6d7vB88uDHJubgHRP+Pp2ndac6p3Ung4ZBY/Y+ZhyKKzQn4Dte
-hwHnM0Kxud/gaauYGx1q32JKZ+9XM/xSrHOZn1vOy5YcQ/YfnlEkCPY0bkBuAvSbIdyUf/CAqgpQ
-/a9kaadMJFL7ZWxZA+3k10ptomsbWva+Cvo1oA5S0EMskZ03QgsClxNigMlYbTBzcbkOF9Vn8sTU
-bl15R2Ma8TYhBhqic/dQ12a9xht0IXSy1D237fdtGp2Rawz/7rxcSu4s1EagEmIaR0Fn+A7p2KM7
-XuP+wmRmiw0yV3NbnJOAVQmhV3yVuuK2x4SrpM2J5Q0MkXyM9DlCmoLOtKFJHRkLgq9yLRiuSAL1
-CNl09Vd1ygnOEkdx8Kid9uf6klJICyot1iOu6yjDyeAfzCbLdS+DKePdz+5Un+TR7g4/htfYlQkr
-bxMvGnYzru3ghTyf3apC3AifarDlQrKwD5cZElrXANUdPH7M3OAbGAB1IeHcBR7cnK/RTZp3lgLb
-KeFqx+7hLbkHQN9vdualdWvoHI6FgPVlWfpeWgH5hsD3ebqLlVOOZ04H5QibFx8BbVdXfu+v5fsS
-/ibnQEfuWwELPNJZNr97XqXtFpIpkbpzYxg2PlpOAJauaL5FxFLjwSxQ9+1g7ht8ItZo7oxWY/Xt
-Oas/p5bWtZ+VE10VL0wXMHqsnuFiaCI++E8Q+jhyc1Ze6KIyaaXyvj/cFV7Yb/ttwLAxaAM04YDv
-72SRUaLVmpCDvLnOYiIw4ephbIGVtz1HWK6mTWVjc4gUKfWEWzZJE2WsEhG33c2CW3cUD4U1OSoq
-y/KhezE44AY8r+mAsx9NgD6KfEZmeTbFMkeQ5Pukf0Oiu1PNpyLTUzV2F5lJJ/M13WMzyeIZoUib
-YwaFz0dSYtRBL0aM9qa/3x+UjCOx3mR976bQiCe3flDvBCtCzZUhyjbnyNUPkGwnycylHr3NDdNz
-1PAogdUsYwHjjCKSOgP3aSujXy1oSn7SOA0h1WMY3P2e2UC3wrpsQyKMsyk+rgM2BkpLLTkgcVBX
-Ij5uS9iD7GL9FVqUAyyk8AiFgjYIgTiCUNf6Av8KFe3gnJ/0uo/VGeJcVFnK0uNHT5i0t6BIFL6x
-I3znJ5dBIAjAkpAJgftSPCrCVoAFECOIfB9NnHbpcITddxIcO5t7N70aBw2bOCvYFoltqJXRXCzT
-hBucUmdy/2IXTycUtEuaafM1m4hYZrPQSW8S0bDt1VWDbC5eguexsWkY5jGHVU+aNjW4M6aTWxXu
-Wwy+qR3ZRcOSevLXmJ9yqC3uOHzUooGiFjt9drPTvwsJ8wyELALZqOisaa9HOwejtDEkahh3iA6a
-4ymot73XLRG615Y3cmIzAMnSy/gD3ncyVy2VTexmgEBlma8KHOOva2lF3kEB4eif1U4IM1DOQK56
-I33i8xyN+NCk27ceufxIO2QznDsQVoCd+19Y2N4rhI7E1vlUC2NC5TKUUr81ZyLhALnLYxZ0meGL
-T1sXY8sx1pnVsjhSyoUA2BqqgD1otKTkZprbvsAFMCbxQYlVFFYZ00tN1cT6gsry22uKd+lu0CuD
-l2XUgFXKstcQhoRpziPVk5xSrgy1s/XugQMzswFufqE2u72ZIm/7cl8kolf4Ow1XAJcpxFLQLrYf
-DrOHpYKe4Azgcu7Z2WPxKjjNBxYWiFZy9hgP2Vr7ImbbhKJo1qz71D3MEfomLhTzbGvAJhaR4/c0
-9bC3JgL4I53YOydvz1SXfDMh9240WfnXJAF3l34lOcK0sU+c/HdxVYKjzot8DDoVsVn4m0CTKxJH
-/qbmsk6Jv5tDm5/mjWijtcQNcCFhEp3IJWGtK/0eOz5+Le/WxkwzF7FATqlpWpvur/F4MHJHMoXE
-hYqz0omJq24nhiwTKwtFnDs/Js0hK3kh+e/9HewrFMaL4QRdIW3AMxpWo69z4ThZHmd5sNnxhoOy
-goaIx94jLx3o97jRj5jo1uHNO1bXiktXRQW1xaQXe799zUbqqVcEtYK34+28ptcbXIE8HC9nVpfT
-626AKoEXohdYSWxM/eu7osN26U20f0BCBCcOq7nzIpYwPxKdGmQQmx0K/PfpUi7+y5QUAqyFiMCq
-w/cn4bgwEcmG8rVAlTleNpJ13qNHaJ0rQDjI6PYTzudOU3DqF/oftonb6PTN6muIhDqahNuKULpL
-Mv+6l0nSfqMPCXSOXyBjDv+2vfkw/scrMy7XzQldNj0S4xD8nxoFEvCD8xntH8JTrqZrl93MSV2A
-k9ucbmnb0809E08gnjHOJC2p6DYZ6Pn7GC99rL2B2t5Vf4WC+VHMqT46ljUsQlonM5BUbnyYTQ6w
-JeCuQ/E9kKA3pwN1+1uZwbybwwdmEnwjMjWOC6iELNpiU0btaF+wBT1Ji8ssOO0i3cjbHEZjTXiB
-MaScV4wXX7QPVmCje4nLKftzq90TjaXHKmPA28LWjn4r+H93fNiu5dp6Mj9YNvXeaw9Jy3CVhKBx
-oIqBP66fsIyAOR3BgKzhq+sVbbzqMfTw+1zd8O1RfqH9b7CA3u4artAxjqtlo21HAtgCnXnJ/OLa
-WxAa7nC0a0W5B8Mb1J1CLNx48e/zPPE3iDX1ZvqxyFIMon7Rj2oy3YtfT1qm0nlTsv8aSuFUnmyU
-3OOCEAvSpT70JtqDqbr0K4kdgN2PreCpuQRRyxmfpkmKyZEu7DTSOUhPu6c6+ez4CJv5yInfh3CH
-TcKmrZo3/F9GcqnPer5zxdwm3acV8xjRk2hhNFADSQ3s093pQEtWp8vxJp++n8qDp5hMRtH2wr9X
-z4soCwkWK1F0zcX707wAE4ZAaiStgQxzLTQGPINt6zYpe8TNza7Ds4FD/HD0RvOjRN8//ZYFkHBb
-ZtTQU6AYjGl/XRvnXu2ZBw/AJmq8rWkmErHh8Pica38Lrq+vYSmWMZqKdrLev9qc1Fiz9mlTGjqa
-bmZIiyaX7+9fYq31G3jN48z0ja9hsvfN2ff+zBFmUjQ9W/22frIQFJlyrV8rBbKCq7iJxtLtymt+
-NQcTLrpDZPhkTa9kiL3yT7IMnlCbtaKRyjRAUKq6vFPcmXDHbWgy2J58Z3FSrqUyVv+tIgClHyfl
-l7RZt4B/twKNY6Iak9J1/jjsFZO8d1rsyQS8cWGPnjebEvdCj33bRG6h5rE8dJ2n6SPW4H+r63Xl
-Wy3vRQ8pwmfEs3x7cn12EE1Msvb7FGi5FM9u23zb+5fPiRwsZQNdlI/f2GTDkaVRIeNXG1eDP61K
-GjQ4Y9IbFz0GXwRcd4B2bsFC3VR2Nw0eUpIwq1n4nAjTn+Qu2SP15QHa2VjvxmJ6Kpd/TMCcrYD6
-E+ICUnQo9JRnD8NnkstNec9gDTAYMgrbWmfXWhnp3NIK6t2u07g+jyGBL12etOT4gz5YkY5KCs/v
-evS4U3ws2x/G7wz9Jq4gxfLWSesAGsDc47yue2LtEbEvb1IVlZhVU9csRVTJreTJa2zE6+etYy1b
-PsimTqe9Q7fVXKcD6mjU8wjkfFlWSrV+/yhgAeT1IseVHm9X73+xLHgHtctOicOMNkZylp2NL12x
-45rY3Taxl4U7kAuUOx/8Bjh/WK5xfQpL5+uYgtMXzCSXHPqSjcoW2QPcnRQiYa8EoNlp+dHLROm1
-WOCt7g9U6bWnXEDFMadm4l1lirVFOT6s8wLVDo1UgBs+83W6kjdqYbzQTh2+iWMzWK+SZj6/sMi9
-DvcUuPMmY/yCOWFnGjmRWEXW+5PK9hXnxowZOlxQayO41fdlhlsCtGPuLmrOoDJ7O6p2IxBKhVlI
-Lv39hOduX8tQOPsFzUNrY66Nooi6u4McIqYYWvr8+F++tLXbfWtRN9ZoZJ1ZH5OGD8mjL3vO7Shr
-FwYF8pRdBxQzUvqxXNdpYt5585JlQvhv6IxWaTnHeHSxLgnH8muMIdCcQCfRu9vR5lp1mEVNjqSn
-oqIPjqZfBsXP5uaZ5i1UbgkR/hqORYXe/qTJ/jYtbqR/XDGUEetV6RyogmiblGzytUGUA8rl/JRM
-sxbNFpNAdcltmpB/W5Q0KAsQKc1eRQo4jgxXZyx1iDl3VcL/RtRVTqWTCOOQNyTy6776qDxvReuA
-PKwDKgs7jfaY4CMfOtbtmffk
+pHF0HTwB+yKa1+VgLXotNdjzuSpL01LC7uSwdDiVg1jV/M2IWMrCZgCKhHwlZ6L5/lohV/4s8yGb
+YNWPXGbayqgdM2+/3meCNTM3oTgeYlDI2hQPVzHXcKzRmIZ0M7oWZkAmu+BTG1uci+Hr7khZlqLM
+/fre8YkZ0zjT5IgIEO1d3B6jtjsa8nzsGZ1hq607QNj8haKX+Kn5SdGiV3boN3Rfq7QZvFQ2yaR7
+pBaXXijBw7WPJCCh+LztRCyUrluKaaFajeuqrqlz518Uz9O5lY3AnUNv+iaKX6FpBi8x4FRvU4Wx
+APyVjT2YnpGO2CLR1lO245j99E1z956XNv7BInODUp3L3hDTv5lxPNyBoH8qPjvRlChpplqxqZKQ
+W6/oLKeqE+KqB+EmrAYdz2rMP1UN+V0ruAqWS49h3WiyarnFbbE5bjIi3NNrKYIBd+1VR57345R5
+Ktquu5rY0U88KcUxoGbB7aZ6FxH4hB1aoaTEotu87J5l9Gj6DDhnydHO9R2tosDOZY4wGl6Fr4XY
+yJSZHtsL1oP/fLQthWpLMUSTGbkmvR39Xl/e+ZzYUaB8l8goOIJw0HZPTCpC8d8XyZg5xbYqyJ8X
+c+QZvUj6hp/fB/phtgJnC4tCwYwOKlTJvEQvT2fSduJ+gYvsTPhOsMpZ8sUfn0xPW5/texdTlcIN
+Obf/M34g8cT1IjwXt3uBmJgo1qkh70KHo5LwdHjZDnTU8L2ValmLcsAKVYrQcGQUKSCkpIbpaY3H
+zYmpRI1OMdOqgmyNwQLPmT56EqpDV0TMhLYwQIAx/rae43Cx5ovrxm35xw+2btWyt/QJLkYQIqCP
+RCKSQRQngZbQV8MfqcPQCyL4FLf26fiPg1ePtmA+ikRlHQnYn/LpXVqmzT0kDlkk8elonzb/CZbU
+GUgzvPeZHwNM07sugR9S3D3tf6OEoK+GMzYVrRbm2//9Kfjjp3r+yMxcC6Wbm+T619+mN1PoZxMp
+tUDsTmnQgHPCyEzNjfMF7qb1PYo98ZPF18+6xXFcuKL0xKAHbke6LhXu5EKUIe0elCmOxHpzqa99
+MXjfbRsZmMAsBalLEpksl29ZzuaJzotbHaVcqrRLfM1ao7Qz2MvCtjO2x7srx/zuTe4RBrzxSgRf
+P07PDQc3OpapOlhs9nW0rhIE3K9KNouwUWV2xMRVZDZNiA/sV4MyC8/TPEZZh4ZWeK46FY7EHMa2
+AELXfeRLPdsdmysgyGsorlD3Y8qLSYyXmM2ohv5OpYfZARfe2fb2JZjmU308x4yv+45vU+XBPB1X
+A6aGTGrnnKHJDDBjGQtkJYgISF1H4cqfdvyrl2thmqn/USe5G3Jpp6L2M23KDPC0d5K4JvamD455
+qJ3Y9pVFmDvaCZ4TTNHhFCJchoyzN/zZm2HdV2p90LjDWauoqKIE9g641suaBpwT3MuwJth4FRna
+E84jTFJyvm9IA2Oo7of89b88QCldgCaRQxTARufj7I2gpi4E4h1QSxRMP+3aCi6k4G51feR7+31+
+wGnXJ9uuTCPHYSf0buOTVet5yNbc2Aj40ucLVbNjAJdXjO/cV/cl0qMV7VAKpGYiU6Le76i1o6Ol
+nR/Ka6z9AxGBnWnJOzxZsboMd9HLvqM/2ibL3Xewdh9e4xEIaqa9LiJ6f89c4t0KrEeUjzEgW6Hk
+UDIiKOYyv9KfxYWO0LHOinTnT4KVVFpMhPCs8XePyp/TgJYum/5g9Fdgp8zXE+XvSUsRP/HQk1/X
+NaHmgJFYQZeDun6CTR04RIagpJuFIyGtBbqX3dDONLm/vcglv5HoOg5CUqOGNWj73KlrbF0Z/cuG
+v04cxMsIqeeLyo+3eYobDULXW2Zuovi7qTKy0NKO6JU3KKzK5O2z8lY1dJV69WvyloNfMrQsDMpZ
+5057oLOK5q72+nEOYal+uDlgIkAtCXujmrqXpmyv7d4/o5/r+1JcPFN6eXFnyxHZP+K4PzW4AroM
+O6WNiatRXHfC2hlrDJy3pR9A3gH49El/9cJElOKMaqtDj559/Yc006nKPuCNWvEXQ6xrafpuPZu3
+M2oVkSsSuFJlmNMtZq3LwLuhtFR0WGEahAjpnq26A36HYiI64Hgsi2CPWc0Ao0ymHkZKO33sL4gR
+m6JIXCotBPeFkrtrxfWCtSUlD83LBmoNTAJ72H9z2tXy+UrJFRvv+0Dj6W69eBhzZ4avQMX1+4Md
+boSCGnbgfPop8Je4YdDXkOqJ1RuSpYY79KupYYuhWoRkbEwvWbCGZXu5aR9s02t+Zfy7HoMvb88Z
+wYmBnry3toDwjFKARSRIh+a8A+LDYzK2msMmJHEsVLEtaeoJ720TDKanYiy1KrXM9fCOwFodbiLq
+33oIveATXi41lcvGbVKKiNKFH51uDBfBb8aWNc3k3AIXbf0Jmh5j8VMh9a3+cc1yC0qyblI1UHSY
+z1EdO5og+cE6wrQO5lL4pG2zizXLwCkI1uSLWMbiwXLqE8fRCj5Stor5b0eCqBcHRsIUYLJK+Fv1
+00Mp23+RgBsyLhsC3PGFJfQun/4dfrr+4fWR8Z94nMpffWHiy+j4feY+t3I+03f5o7hKTKrZ+LLq
+1IDBejLm3en9UxFbNLPfi5CCN6sqxPF5qsLOswtNPYw4XkV110DgM7dLn+tzlTi9IuEnBzGnZBdj
+/fiY23rrj37AM/g2oEwsYfAq36v90YGgKLaTBYMufUw3ewiz9cq5TXHpA5Te+IaigHGrVMaOA0BS
+NxXz9phJyxGiwAYVoAgaVVsFHJa/We1qsgIP6upQ1KYrHOTHu3eHOtqVVTdQX4K0pHnvTTn48Yuu
+5ivvp6vcriACz+td+FI+1XPQU0ylKgDfhH8J4xpgxzB71R7ouQz6miopsim+oDxKm9dhfD+Olq7X
+Z8s1mj2AB5S8ialYbOMGQ7257gRst+fMrTS8VZU6KZI/ngSu7u5SPzX7PocdSSTlGhth8xzU7Fqx
+sAJW09GLElfZeoVMjtAYezecXKr8WcHPscWsxwdmKMjdwoyrr6qbeBW58+95LTDgCGoAHJFvc6Wr
+xpvGrgXv9UtGDMK6oL9ZBryVH+kO41gr2fCc+P4VklWTl0VWZlH+mYOo/KcduiTtrFyjLiafWRFM
+Do2xYjJRTWNWmo11kcQ0rQbDiox0iiZu36qxsNCzmlGZrx1R3xJFQXmxUlYU5k8h+7SH0rNv5/uG
+x6Ss7EHGzseG0CVLbUPPWNmMqV1iwQGlUv3r8cT8Ec2gGdLz4iT5qDjb+4Z1iYzX/P7jNzqQjJIw
+Eq/Spxtu4M9kgAlWe/mnIJW5h5Qckw3PvLqcmJUvutpKNN6J+w508rAPebzsm9r+lggrsEyCD+PI
+W/oZsDY8sodY+mUUww6014BCzrGDXtqPHkfD53+CTLPIFIsfECwG7b5bGHCEF8yJOYfHay6BxstA
+Z7+yq9725fL9TRVLl2AMCaJKfDUJq/x4MndzdX/9sYNfQ4M03VYD+QatHiyYwIOzKmvILeclNnMK
+c0AIhgiC8eE6Le0lnFXp7WKAMuVwj5+MsBzuhXPy0ctEYpExwZ1KbORRlN810QLVinkcXAUozR3H
+eqmzBanA2LTHYHLOIbv1Ra6xE2+EVWbz5k4il+raCefaE5DnTMIGjUBgx82ro0hKX6tq3guwS/sV
+nQ1c9hgQn1dbYO97107k6JSJ+uYMBfhE4UPIPTdl/ipBPTAoPPCdMxYBPr4HBXtL8ymzLhbGhVud
+4smuRb3143ZOSD7nhIdfRtK5GZiE3w79bQ7SDeVsO1e279adk0EuG6qpUNy0Bk4ZwnMGiFXGKzv+
+ph24jjLwCLrrOudTLlSk4vPvfWKh9en+jUtU+Jvy2+g7cL1iXv6/3hnbA0mileAxiy3OjNbDLW/T
+ILtzAi1e7v49yfrr3yLh8EdtUff+Y08pOTNe8MJxJbaJ1x7dBqJ/dDHahYc1E4dGejIvBZ0sjQvm
+DvbUD+yjMkWaXSaFmW3KAPFrPSQgpgbxZOTCWe4s+NeJQEn0GLhhYfYTUk3LOJO1pdd/C29Bw0xf
+qsqHrm0Ppw7MJwOybaqLigE47UGBIhyAlPxgqbxvg/HWZCs98snH7VEpMa48Dk+lJiwlDqoMVBrG
+UYCvv8tzHpGAuFKMIkNfkPo/Dxxx2BzjMBk4rf2LH5G26tYAsAtNWfgOG3VG7WEYOJR1a1Jtzbc6
+xFYGQk/4hAwe5/LYiueW/cHJqsRtdpBu9yjFXmV4MDiISBydqOq+AwRgtuh7oBY9G76Xm1dt1Ekf
+0GINZL3B4tUhfpTJboxAw63t8f9+7CiI3CivnHNx+2hwBuEvK48eJVugxSYQj9jR8Gp8Qn8JXP6p
+YewcBl+zpEXKUoZMVNs5rZ7G2+5fCjNN8ZxdxVm7rLWBzD4n7DP1RYXIhcWaMd2717D/eR5oqAjA
+Dq5VIuOQu3CtdSv8H+p4SOmtDnTK4bCVOi1Qj2cZrPgB7qY10ij+UlWDYKF4bdFiIQRw8wVhUg6n
++5REn+c7tgnUPUJNX34VHSKqaP/HgVuliPegZnZ+y2Cpb5KQga2bJLqyENxBGg0+Exh6he2b80ts
+fNuo6CWON0AzSho5iADlSLI6KWRksuQdYwJN7YXKsVggvCFA4iCh8GyIFQwXgJtzXRt4fV544gmI
+EgRvzPbwRmut46rACwcHJsf3n/0bLun3NePaBApOgYsMgpGwAsFzYJGhgGoZFBO8QK0PmAOtPQ42
+nhVR4i7SR2kVwvtgLBfCc6UoikVedcNGVF0RBr6HgdXP6LAM5YbWoIekewBH4bx66iknC16PsU2X
+J8NpDUVNrBAFcn8xHTLwE3MBsWB+INiTATItTPmorvuBivF0Y2kgqcQMjI5VPuRAncgA0StkXrqD
+V/S9gwlGJ7IuGUECrtmXvNx2ebzm9bIxrE2EMvurkknLvvsoDNiRYjEy8ROPtXu2bsUe+djmWSFp
+KZzOY/5SxkLmFVvwCvUJs46rhdn8BbdFgrCCf1KKQUgtAqqFb80JeZzuhJvTHNJqQQm+WKXYFCsG
+KaLUK18HRmZY1XNxcjdeyccVFzb2Ij0TV1SHY9GIT/LWxMxk9oV6NJ3PRaknqReLll4MejZLW8Dp
+g0OQf+e62WRYRA6eQEfNZxD8sjcW605vegvYbiTmsN/JDgj4kz7qShKJvKZccQC3CXKXBYRwmdE1
+648yg+J4K1+w4tewif25Plq9jxd9AVxfiWAd9pX7c1VZ/+Q6UTEBfbe8YxoBRlJnM8RKoPUCeRW/
+Gr+Fzi57T0qA3n1WJxjq8GvopV0QH6v42RBum69NGulIfcy0eAXb84+ceh4C9r7+la4mTrXs2MNS
+Su/AfLYdN5Nm2eULr/yxAEBfWcROE+9dGREd28f+FQl2r36mbvxr7jQle3hZHfXnjg6kgLJCYaCv
+xrXCOqfexgkCHkAlPJ+GvAtu6goEANhDw1mH2ToioXX/oHmdDODTE4GBD4tUVgSPjz6jgc1FueE8
+/s200y8Jq9d/K17/WJvoG6PZLwmMNhlxy85Z0l4nUCCOdPk/ZIQf0tP/S55gwwiKzW8x/w0uZpgK
+y0KhJm4PF1/9ldXz7lDMbRBSTbUNDrAddcJV7ybO54BABDu9YbyRd0J9csa5NUebAvJXq7eqU5CD
++huGOjnJWwAMYhhYMUVNXISrLzTTF4qObMFmWPFsvK+pIcxWNiTYqBImyeIig7veXE906WTkmuIx
+/KZ4/TaJO4hLIwQiO3Gs4OMusVnLZJefHdjWyJ+DdcOpbZV352+uqNvTYIkyKvbPVc1w/ImeXUVP
+XOUfwJD79zV0ABmjDLbpiKzoeM2uugyyJ9p97hpqkPk1iBilrt4uL99XkAUjJk03f5zJ7U6LJe0O
+xa4o3KoSf6QhuU3WB7Y4RJyFQS6ehSknGyZgxxxmxo3hz0bywtu5h3SqgZiRt412rQ1ns12OJmEw
+vYowx/kNwQVuiqfBA8Reszb+tlmet9nGbAqBi+kqILv3XjqRsj6RiovnRfn0sfIn17ZvmRXjVQTr
+Y0J/hmO9f4ITMOB3o9WT+ZEQMNb95jpFFfcbN5vDoqBtrMZ+Q25gkMLc2ZRRG3r87sfAQG8NHMsL
+mKyz2sGm2DPzGAzH/7VpzmpUUUn8PNwzUqCSkImNE38Wz52CK/9AqKcmxN/y/Rz6j+FxMsVY/F8D
+SuXenDmErS38kP/knPtUqroMCRAxxmbhkaaY+HMkWQdAdxI/L00U+4ir3g8AytRYUz+g/YpFVB1s
+DUiDu5IhU0dYhdfR7PkU6TzwTSQPK4CgCzEzHX093mH4ERoyrl4OA56UioUhxEqc46YekNFdBOvO
+6ptcqxAS3pwjaUygKzUZAx4fDV69I0jbnokcVUJ+yR5Pp4ACIpOaGCfxtV2pGnpvsTMtZ/bueQx+
+JDwSrwU21f64Z6jbsD1uU8Wa05wBKNHzsoIl/j+v4D1/mLhyeR9wUQHWbhryJCMghVL8Asx0qCI2
+BTbKlw4BhZtNfILL0ePB3fEZtuL61MIUMB33y6qwmKliySrBkVAsCPnA9L49CuQS6KW3TcevuCp6
+hx4Eoin/KGJggyNbWtB6GbG8qYxi/3N0Afeyt1EQzLUeHYC4zVEA4lZg5XwSUhiX+JEAz4iT94Hf
+Jwpj4AxFzArOgE/Ytb5eEemE97gbPtshkZ0kYNbRLkyq2OpYVqaGkHzutYtavqBdAbH70vmCyXuz
+wvo+RNsK6a8oskZVAWXFt7EL5RVZFegWmp4eJYVF7DpVR+6r50MX8Kg5oTMd7NqIWw8INbRmCHYR
+VqaK6P2A56zI4mEodJU3QMUaNblwMrIexkUAYzSCmoQLDCgSbMEeErzhIWgrkbsrHayiQVIsNgXZ
+ZIMmAk4r1Cmw6aYQiGODNWswdZyF0QDcOzJ6FtWXLt23aPiJ1wXZ8bRkMBLS3z/MshWh/l+rIyXj
+8tAyWYlrqkplWT2WZZe0P5OXV8TvKEw9Ttcmt0POQjhzktaWH7G/VtIYShp9YlqdUV5JkAoKHmqP
+emHiXUJeFu9y5Z33jayY6Ry0yBlhOOroiEwAJ2AYlnfFeJaJ62xegwJwy14mUmqP+FCQpr8wTdwL
+XMe8Y34HAIU7cre85C0EegnR1wUkEVHMzDjZZa/MopZVGq8JiCzN1d3eQXfwahDZnVgQn0d1l8Sr
+/ceyGG/g1uaSZfQKKXOmfH7lmFrsENMkOlRHPjrn4kaewhjcqXU3zpryuPa2JMJA02VMCPUYQWS1
+r1hkpyU7YB02eXCi449w3WBSuNVWnrY65SwMqSykdlelU37cnCvwV3HsY9v6sCJaqHL87Z8iMI8q
+tJ20hQxjtWCn4aiAiSmBnHcyK0w49+A0N7kBiI1pMw3eWyCnvXx8ZQYS0PlDvk/yxPqM56E/WjXL
++SeYQxtaXaAvs3Cclot5fgFCzTpch1OnbLwf3JZQFbrzQjZybS//bU2zsGjiss9rt1gS42p7de97
+o6gkSiC2h96ebYLuU+A/la4Jd8XV0T3HgNDvYSJ50wvpy9mlup/MGLE+wD4YFTa/dkE8MCKp+ldp
+PsipR4SibPcYvmFVF+FXWAsQpwdJ2qo8BOSyehsM4mcFSXd6uAj4I7pkMxWoM7F0F6lzkJ41fvKi
+jcr1W7Rx6r6vOtiM1b3a6eoqDf61qnATttoSrtqmrc8X9uBSZG6pDngEIVexwBLC2wiRIIV/OVTI
+21FwDk7DweWLXIIt9+k17P/xlBzin2B10Az8xbCU7etJQsf4ewASfQfYkA2/ct/clRaz9l2S2mDe
+KWDakmtvWcdM0LModxYLT+HEnuJl15ZZ1gHDlg28ExGX+AwaVJfJ6j4aa9sZ5zBaBdg+nivaMsQl
+HzJRZNSzak5Ji2KZJeR/tAmTklGFxC0M3w2FrHS42FyW+apGKDddYRZj7vhgBBp9s1DIJIlaTM7Q
+0BV+XgHMKKPx30r361twLOfaop+Wbig0v3NSquMlyDEpzS+Dq3CCNT9joxG8Mphh6+t6IiKtsgXV
+AhZcQ9HZoDbpXOqznIxXtEcYQJ/fPhS7xwC2LAEBgkftZzXt+NBrM5bVNhIJxu0/Ui0JioMvpasB
+iXVwYgQJtQOVKrd7gaLa8i80erDCq9vmDulCU29AGNMLq1PZ2P/w/ccsb1Tq/oypM2Nw6W3teeWC
+gJqYoIbrkSWN0hsWPkI3nd5nXrkBxtRBiczBV4/oSCGWymBcn/PQCRHVJhuZbCOwOmSlqVRhEH7H
+y15iSnTddYqHFjlD550zwhQvjV6jSMiMcPQhfZR4SGju8CNJsNtz7ZjHqraYUdJYffVQ/xkbUTtW
+L+l2P0H3OwEDp+9Zp8L4vh9pMkq/3J505ZSgELiKZSc7vQvi6qM6aTbqQClA76pf6pb7wGAYP/kU
+Ysk6i6R225lwGo+PZCeca6cU6/gka2QWiGR9qawTzhpMbLGChu1HaIjHroFx7qdI1hWxCChhr/i9
+xHRli4y6YvVncb9bXMR+IblwE7q8QvEpl/15CuTYlt0VG/WmLyEFWk6vHIfrF9y9EA3mm/Ow0WfL
+D62ksL4MJTshc1xtMmOtDmRRKrqzYC5PVLhV+YfvbKUXRZoY0G1kuPPSFhS6JEX/hnCIJk0/V+rI
+IyJYMYxbSpxS9PsaTI9mxE+bXfIMauzYqA2tIo/RFOn+R8DAOKSs7Eo9NiQKhWWBvzZIWiTTZdCk
+hNeJ0Aly/7V7ZCjohI/3gn9FbMj9IO2YT4JfQGd/cHIxVsJ4v4gRTiRXAxkRLsIqtne9gjw3/m+u
+K/0F8tYby39k49y6qX+XMfpp7FmozUtU7NrN2CSwreMbAzlexudIdwKL/pIMAW8zCo/REiaekJnV
+1ZiZIH5HfIQY3t+Kx3d4yJLWXmv03bE52YeBm7AgElE/vjKyAdWLsUdKCuqM2hRre0I1iXy0Q/oP
+2vTpEqkoZR+FsV3fSFdTLiMtBm7CcpcZY2RoJlLCWm2Ap0x4VXJw8gAo6g/igZ2ivSF8h0AEa1qN
+hppr5aC+gLYxVAohJ5cR8NBzaaPxoXi7J5PTZjK1qAxPQkP5oQ0+Z6ezfr8d12XaAnlKR3eDkt4s
+Pf5nZ3JkMmLZ5UAY9yECaGKhHCriY+P1VxN1qosSxxkxpNfl1C3ztr353IVvWp4EsPEQpoVpS+ek
+qx0ZhKMc5LnAumd/XNHberl+SyfRqPmstjCrlfoHPE/PG6DiJ/HFhaBbEr5jvnUma06fx+6LwT72
+PPAnkTn2CK4FZU/R6JuFNDCxynRBf6zcUUjwtq55098PxRfdy9QgvRPHZRpxhSMiqbNHO9InfufT
+koyq7+VWpGx52G2/RqBHMBACb9qNdz8C/5Okw9k8UVxfxAJ8okHyuBQtM4cu8Xl/DoXvtBqUESwq
+V+WPawO/80mFingKsAqHYc+ExGzVijFnw5asj3PCxcTKoUvLoOOk0As7PxEVD11OG1ZeXrlv3KgF
+2BapR2vCT+G/dAbvnrj8QmJPiAnNTN+IqCOJkejFwmstOeUUrSk0dLUW6+URFT2t+CSO2GJhwELs
+z+aFr+U1MPL6RDavR/YPs2/dSkvEMnaURMcrEIrz6tzqAhwy1QVrJ6Klpba4EMHpBD4KnqNZ4ykA
+2yN/kZmIsKRgMQwQTam2rEgMiAJq3f8WPHH2x10hiUl84SqdiQ9vevaMZEZjHK0uroXbkP3BjuE7
+gVTAXGippAcyd14YHeVWMiDsPmHxVl1vs+Sh0TPADA+GT6SPP/K+7ZFrquT+U1ELPN7LFIcBDzux
+SKoWLFFoCatnc5XXZKY4GpLZuGwaVJbCQu782/ruAPCYHsn5FB6CE6XTHOpNqyzt9qXEWBzQ8YfK
+M2pms5+hp6mC3kQmQVpQI5g3uSOBE5KNyPDawvwIvq68M7Q+WTnT5u6fzm+XX72A2lYCOhNGEJDp
+u7TCBnZjKe1T5WKFOPf7iGu3Y88/x5J2DGzNQPATNDdd+Io1dp1u8h6j+RyE8w0yhbvYgm9nCXZK
+CvnLqpop6i/Fh0zAFQH4tYQEMJ/sZovaPTYCrtyA7EqJ8x+gHncrgVMC+Fb5Qq2zg/0cKNr1jlNO
+nrV6VohpN1RKYM3T6jFqWGXoUEWVTe4v73foLIkdSpezIjfMmsJDPn+8xDhe3Ri1SRWpD4t40Iid
+I7Ed7kB3RhhHr/pojSsKxwLFY8/p9BzrnFK7zKkvwOYPRNDeoDHYUDsIAY4pQ0Ba44ZOumQSJnCT
+J2QveP6wGZYZcs3hst/sZDBp+Coy0zPSP9mZV1y6eLgEa8nPgUtZ3jARUuFbrv7lckFrd13A1UwH
+nFaen758WOdqSFPCoaa2hKU0cxr358PO7sp/d01WkesSGZmNBTez5puC2WiNG4x2uhuy5zcnzSYB
+kHFN2k78YWz3sSPKaPvDD70BnHyq9EvIYHJPRBbiXWadZQwvx2/QQqAZxSBCYIiOVZI1jyn7rnTp
+2qXSw1fTs55uN1bHEFHYaRAMTEPeBPB4KrQjLU5nXpE2eo09sT7RojyC1o2SPo/H5D8jckPwo7ER
+7PyPj7QjJ637US42fMj7NpJ+gcflYNYZ9TDEetnBk6lA9Ym4jHMoHgMaEtIPdoEVg5K+QGqCXveE
+5hDRSVBP4wd0vNEfXJ3rMgYNmwLP+NewKFFhvQ22kKd2hQzuCDigO5xyrKzyahjc1RrwJkSXlVgZ
+PM4XWm3JbwMNAXHkdqH3U5EyVvemTq6Cd4SM6eoiL0rk/FXCNBHvLZGU4DwrQPOO34l6BLY0ie6b
+mgrOzExVSKcEdqLzkCqBjClHViskFPc4vG1Wt5EMQzca9+a0VdP0TPsLiO7PaxPRvlVcmB9Aw7sI
+DOfYffUXYmg1tVqJ3l9UuWOlUWPj3iNnprw2ktvcVDzqfMIGeCMxuaqCGv0hLWuD4v78EYZvv5aj
+vfsDMxvl2MRJuNsOtSoUN1sOjCucErq1iGBctQYAKVK7f5WuFwAJ6EKq1FYnuq/tTssdtcC6aiuV
+FpqV9SiUpOnXCpcmhjy3ZYTzsN42fkowFcn8408a3EwKfUwChUv0tdinpxS3d0mBQmIEJ5yxwFeD
+DcxyVSi2V3nWkGG3AFM0L/jWApD7BGaLNop7CAhdiiDHRYto2HR0tmHRA++m4gTJ7KNPPYQwY7jA
++88WpKXdJCvE6qS5jN6m7qC5CzjnrZdNEgq979k25weHqKouxFB8yClyZS59WrCDkYFKmU6vfSSe
+h5KZ4X8upw4JB7gWjEAW6YScQBB5bsLGqyKOm2j90IGCq3CJ/KSdIuPi3j7ksUCmj3FRGdtoZSD4
+CrNN0lA3/+NvKiUNGTdidcAEezliJMPuVbU8Z+pZ0fT5tI3tSAgRA/45Luw25Kl3CTGFRhV7icYF
+yoA0XvgraPRFADTKfPnfcr7GpEGd4ppXydS2XpZPq4GvRLbxi2A6icZYW70CdDnbSNFFdbLyOkQk
+kmthdC+Lf8sXR52PYGcGLaEnrWMsVoPjgNWQfTh8J92vMcYCvtBuATTHcAvNZ4X956QjVTg5dQut
+kLm6R7RqN4gpAIZbUrC73TSQ2p5XkUnzvcOCn1D0fDJKNawcU5WvhsT1pPOB0c3zOC/v59ckI/7l
+SOTJkHPgXyLfGWidgMJPS0vrHDloMwRG5k6/RhyNGkU1fNxGOGduhJizm3aB4rZr8PhpUMHfYCqm
++OOVn73mFUfP8G8qBcZM+vlDRTrgDsiRrtyRkW8GAN98OXZnh24vJ/T/qiC4bhE4LI+E/W5TWlGG
+2M7+yQ7+UG3bPL/CrzcZsh0Of6QfCOaufNrZGgDDxLfwdJ6w0NcF8cE2NOqSD8H0xtI66aK51jGo
+qRjkoFCaMiJCkWCnhp7tOgp5O6mV7HX31NJr6ZOmBTY/uPMS8k8pmCKAu21CMRJmyGKV+DUenIWQ
+cyChARaqqvawKdS9uPIVSWfvJwGuijMihSjZ87J5Yfj3JK5gOLfPlMltS5rxF3qplRinjuvbwtyX
+4eiFxtF2UjElvmrgx8M+YaZRMFQdQkYETBmqUdr4rlsESDrp3PKWgBnlJA5pIoVY4go0LnONl8LJ
+8z9lT9wE2Kl1YJCEW8jM/SouuL6VLPXTCpgyj2F25Cd3hiD3zsx8om04y4Mp0KL/YTHI+SATes2H
++Dudb7uZn83ua3pcdCyu9iWSLgcnZIyhxdPDDJeiLDhSEiqzaEOtiWev0vnW0J/Be1nfUg1vTi6r
+10lY1U6KU22lmlquRsSK3mkEtb2uHHoUUrT2HEBx+6r/3DwKxnm4RV89crDK6LqUzs0gAanz5rGr
+WswPKPWt6Xt0/t725+smJInVCn/hDCIxNFGCPcQ44CqRDortU6H0O+OCGOrrIJrdqguIeofPOgKl
+ihuaGYbWhNeYiwizZTLfSp6SB7LhqlLmxn2A1IH/2BbnRgCQo5NBA83B9LwvXe6D/UgZI83x7RGD
+p8gQR8lLyHqy2HEFzinHarecn2FDbC7BsY2N5iSSzeE4TKN7QGCcacLHvF9jqinsHRcjS2M00xWD
+cd411K2i7SIkxfCsk2cUDSFwrAtzvT8fdRp/ITsC0tqVuvkc1VpJdhPW3ft1vSqGlcACJtE1/Cfp
+GpWufD45oDZZahP4FxDMtoI4sW+XAi1QPqJJBdS7r48VdcOP/8I41M0mpEngLU4jVEvY5NRma6Nt
+8ZXHYObpwQM4VUPrMuT5KX+1v0eJesRcgvKY3tOT8nu0IdNgCiT2FPzhNyRvbCSsLC0ikcYc7E2H
+afRevltqP6rDUPLBfgEs8aUxiaMAkcvOLo9K+5kM+XRxfri1V9tofy+Z/2b1lm0EwOWDjXii8wXV
+wYIiNCwJkfZGZ9XOfOgqfH/9CfDqdb32oe7NNrd7FLR4JBbI/wG7DVrcSGH8RLJ5F0sKBJfMDP7s
+UpQik/I1D3192jYVFbmetJULGZpQSKS8ag6YKO9pkOZb5ihomKNh4T0Y6tWX0QrOpN3/nT7YtT+p
+CMDJVfk0S6Lfjmpcu6il9EP9Wt0AREwJnzdbRW9VLtk8DzuuJOOoccPY+z6B/NgrRps9o4GORq2L
+TnBR/AoUaCqRV3qcWiHrewpNwPGAdm40TsK/ADfm0EOepuKrABuKwe213RGJTcdKKHK/YZkJnvpC
+2PFdpBfa6j9NyYnljR2ZWjVG1t3C+h68/G9ooeLbz2m6NyG83ibteJE+qliFXasryz4XPSdm7gcj
+hQJ+bkEByEgGPu/lEAsSiHRO7ln2EkKRi4lkl7XvNO6Ry/ZH62SxaF33M0gssZcWnFjLNdHtw/1e
+5AkmfVSYpuiyUB1yYrA27jT4j8nb0WPeHaTNNVyatc3UGtH5iZdHoM7D7KRiUEhzmgQBYcrlGsRe
+kw1/Ycs8lmfUCrK0WGGdJ0daam69BEJhjxYlTOBzBYs9JRx73IHC7pXPbHYMgqc3ZrzdmQjawIGg
+5qryl5EWWp/aMM3uOf8nu9jwgHy086UtgIt8GayP9ebYj+VSME3eOchb35T1VEfVAg4e0+ho39pq
+dA40YdQcQbASUMsTCBPJWs8blet1/PFZVFeoggdnO+8o4pgT5+G4iWAkLc2ulUL4QGQQJZyRTpSO
+GI0IkDMubKWqmP8nKJnUlAYDA9GRBEI775gcFeoOrtzpfpVBe1IajWhQdheWZttsZDf0rYTdKtfO
+bJMcsei8oNJFabBZ9G0l3WTlsyw7zw6/HB6NtWGh/9hRI1aVnj0RjKtdSI/biFxH3N3zOoKz6TbP
+UKtlq/KIziZzYd6h3BSanmRQAfKof5ZdF75Piq4tiC0RKvB8z82X7IXJ6EO+6SQms4Culbrjw+QF
+KfokeTld+c4ae0pqDBCdf4A+mLR14mG4EFRa2AcFTqjyFbRqKWj0ASb8EcEYuV8+QLB2SOoHVCCN
+D2pvo07O1WpnxA1FcMuNvGjcG7XJbC7oXggJJttgF4wtRHWD60i0KD3/GUpTsEITjhpzuKU9RmRu
+39XuDoauckBh4r8wYXCO0ZbTQ58ingo+PYdulrbveG6NNpP/THTG9uyxm4siWlI0l9E81O9dMv4w
+s6G0lp6RD2oUjT0f8/9/AsBedCEDGLSsA1ByUtw7ASoXWJiTeIiAxoj2+NSuGqjMD0gieCnJreNE
+GZFNqhbplpohkc+GviSqVdNxY9f1NvvMfTEsoVJBnQMfAS/JFa1i9PPYgUWQ0uL6x/pWP1sWzQqU
+05M1BHXktMdJZUR9IPlEhvOuzt1osM7RagiXACb9WMtZLhvN4mR33JmsoqL/LN9XZkbPU9yqNMjT
+A/+BaSjlJJPE3R8eLdt0jwXMpoCcnYPNO28Zr0RYUMW+e/vPlzGEaG0obDV9Hff+ajGQ8jwtkolF
+MO2eUQrgHfzR6wWhkwoQz//gi3+l0fWbhFuRMnqkFi+wATihi1NUxP8sAgNqhvoprvx0dAEATDNU
++o25urOADimd6FEcOWJ2ZwI343/bml4u0tISLL4AQtXzt7WCpsRtO+CBfhAUnXS+j2IbVpNAiFxF
+DJ8Cb7YkeO/xnEIb7aXfldbtoyXn4MjMOAEIwzKRJcjWNHl94CF10ORpTynRQsIAReR1ux3ibgP7
+ZImct9H+IalYeGAmbapoDc3iMzFgXSrb6IR741H8mcfrixCo+52UXjCoCsHE45pbR/6iQZ0QAd+H
+CzXqjToxXAKrC2yGIbksTWxk9ykzGsFlUV0sY6gqz26DZOCKF4Ut2PKZR+o20B1fC8jozoUHyYJ3
+QUPnNXvkmsct2zH+U27KNL2vdFSuMdPk4Z28jtiGBX/89LQWIXX6L/85WWOXcbWOZrhTu32tT7IS
+ETCd9wzgUqUUVMgDbVhYWcpau/cLjbJfJgTJkzQipZkWDxBHQyDosVxgokEdaEs7v7gyY6ngOtGI
+/oydvlXBexInStjD1wuhXr1iRKs9y+z4z8fGMJahdXOB9paEGUFyqm9ox7cFuldJCNVluQLyJx1J
+jLbFOUmoJgBhh4FcKoQ/m6EHp+eFt1CmxHIAB7K8nVP78Q+U7znSHCm5EO7sDGKhDWLDIN7uGNSW
+ev0GdYY6nGwOAopeWXZ1vO0ItjYgVvSemTjCLnM5LJMw2IB2TQ74+S90U50jlag7R8gpPsMRz76t
+uz+bk3W+pWrhqvoTm/E6AsGsT2rP7HGdtLpK/bcA6KxS73Z9NAMqT3bkPJSN0xbnyATdxS78aXiS
+tQR4mAjz7tWHqIyx9XNGSACBci6hsNqhLIMZ6O/yvvG6cXSpI3yf8QwDj8ubdnjel2/y+Z943wzV
+SAYPyit+AOcmHUEmiIQ+pNR+DQYmE9CJ5v7T+PND2GNRZr9eD5oDRL5qw7s6HRPkYjnCc0n2523W
+wGnSvfRX4gKcUEDszyjapWmeoDJMOzzdpgfBG2Ya4wfpiS+MFgb0N5S9N39rMoJG8juvmVYOggZC
+uwfqtqEvUMRXHWoC1S6VFFsulUPoJbsTNe5KDw7tk3dVli2aLrmNtohE1u1srzAIeIfrzLWokXSi
++MFOxkab8OpOW+DmYSBaxvf46K89ahQq9A7nXgEAQvKDdFqiUTYqesRhscyHxIXgSqvyC83n+dE/
+Rnoa4BPc6nYd19xKI8SOgu+v0PPa7hXzXB5dNAN+D7r0pQqPTF+LdqVlrZVokUJt3lVBsUVwxPVc
+kF7g/AeVT9OJaGcTZ0k5wsi782cKvsZsz1xycs9FTPQaTDNJpTO/RVeBzgmCIoEJbvqjTb/rL2/b
+ZlXTyCxSWQQJf+IchfSr2LDfFiT8c46CIXjzxujWRCB6VuR5+lclBpl+mUDRwnGiYvfZ3Kk9V3T/
+yDNpWJMtJMdMYtrMpqxSOUfIcXXnBHoRPui9iRErYIvBF1iSZUCemwQyy7WvZ71l/z9aVJGOhmOI
+BQ/0DN0tWsMMeA7yrbkCp+b1l4wiqpux5FKsgTZ3UNi4ZZavQWVG4IRkVNV0Lxm4lXZslG1LYgh1
+ctepHASmT9aat7xcZ9ZAoEazstuyGr7NRK49sxxTny9EM3VMO5m8E4z3T4OC8funXYpl6OIvppG/
+zwrf7Tdcwwa9432Ytul3qMTn8azE1zRhSLkW0M5QORNIOJW1j3UIs0sb1lfzEo7BOQ1gLy4ctI3R
+brAGvCbg25HZMgdJciMNZycXgkB2OdLn8fPXrpEPOZ5S4YnYoGyQNboYVeCj7CKOlou3tAHc/B8n
+yKS4AKQcarvAdqs2gPjREgxl6P247eNHAoWX9QgyVc1dZGWvCt6JOzPydoEYUzAolGOZyyhJzrzu
+eY1vQyhHRJYH3c1ckTxXp5or/Ahr1fvP23aPi/auCqBPFE60hIwjej+5BzSSKXCpitGyG0NQ07P8
+wwSybGSiGR1jMYY5N+iuM2jfGSaps/cm2lD3uDw35S/s9eZ28Y9OcN+mMZ5nOuVt/IfXhawhnQZK
+kIy2kXrpp6scsIBat5hj4byWt/tIDHjWQHdJPTk+vGGbkPmzy8o0bofvkhsym5ojL4V8xbE5cuiV
+8ycvRx+2f80FlVtwwSjvxo2YBG1BbYPr4p3OPl0yY89lpcg4LU+urL/Pz/o9bkUwg5ZYg5sPG0EC
+VrcR5YEewIbIff6r/oP2Tt/zCzsJ+64r5K71zxksWh0wHs/eUJr025cTKC2wqPyud4ajkqM5B81x
+wfJl2ZhuY+fEfkdNXq2OGl6Sw8QRR4Ki7ISEJx/v2zJ+QB+LAyoKLHH2h4WVo8uTFAZRebadi1QN
+9jJkIK8BdUh5CaTgdhCPVw954YwXh0+ql6mJq02V7DuZtY100f1ibROmDvpBuxuSMuVcpc7jvGA5
+oATbpbWYWd4FICd645VgWFXYa22UnqI9I1uxBcUuYIaUWRZhEXVX7IAHHRqJ3OlAwYe1Wu9FFLFs
+58b/JNmWT2XgNYkA9ervMfi3mtkjL/2Elw52u8x3n1Z8p6qDc12pQu9bW4msJsAXAT5EEXoo5nqA
+voXhKRaSU/lrsVaIyGBy4FaUgoCyuDaOkuhrET652OexBuNnUaO/QWl58/XU3HdotxitXWUZtzlO
+hFPWp0pZoyZcMPOUtUwEGFxkBEYLNqyph/2GcsXNGZgrVYPbXHzVk7uJ2HLsDLyVQY77n6CSM5pb
+ou8PNM0pVJ3eZ/19bK+MfmOEMaIrNRi6L6ANF3NccUMNueD57wwxdYlcSYDoGUysaRfizc/MPx1P
+bxA95SVq/wQSATtrkZKSE82m6sHkeZSCuMcbnXSrI4AeU3idfvaZdU+4V7DFc0A8K0zHQnKI/JIM
+pMtF616T5hNFcdRUGwkOYaOj0VpNLiymziumrb4zYZgtm+3HVo2o3/vvmkBye6xYEDDkVs0pNqPA
+NFuTeCul723xMJ1Zf8wbIj+cUBrIH2zg6trROMIuW9aTX0FB+PDml2hHjA/PHQHheiKNBRQiBL30
+UYJFP+bwscxQds9SEXXmww29FyasJhN8YDSU6RAZQG0bXls063IrSfIFX6HppUqSXbQSJoLwmgHS
+2AsFzRpSVYfwxRK18K+C8IC/isEXGmOCczC5iTSH6v6ok3dNUGSdIKIoqpMFDQLlOGZgTt0hzjIs
+rhVfT/iZ3wSy5pbxDAnd3BKNc9P+YnYKNOcLxkFIy9jkOVU3aAesiTc49KPrqnpS0eCztsv8i4Rr
+zJogzzaVMwMRckCNvbuIl8Bgzpexz+cYzJkAwBj6hV4ijW6a8GslAVlOFxAPVEpKLz4r3eMKVTkR
+H2AVD+JjZCVLCV+2OkdxsYWSO0qDe2tJW3UsoHm+hHGS/ap9yLW7T6yRXle7jXQ5FYZOP6BfC6an
+0nFRDzk5mNTxyjx/gWnR6IPdfG+TUjlztFlFsJlsNM7enKcqIYZVvL7JP15wtso0IK3xNX2voBLw
+F5JyPNKUiPGd3zUYeXdFNo2szu9liqZS/Y2mVqEB4IKG7dhvBkfMLS2j6ZdXcTVRZjg45tdSbkvd
+L4CCbprkAlCtWPvY5txRApplAJcHvlYOoYIlNPIgCwiByb2IaRbxAynpXVDG9LHRQbQN7N8EfMU/
+oWczOEqt8ffa5Q4cNMmNJLYeYsXuS9Qs92JBdw5USlOHWjJ5I7pMTa0v84CaC+J2selQxBDQVdI6
+evbv688PPIggcN9DUFXbENyhPAVio0yLmZpTjqoPaMSdOf6GvEmJ15Gjo/IhcS15jmWnrx3vXU6D
+yUfm8VkEZxsSndW8N3POc0VdJsVGbvGM6M/UJib/V1RHCL9d7Tn5cxYwJ7ZYSYCIs1iSxtbIDbTd
+45L0MZzOKvzuXUY7VCGQ2mBRd8mkOuOl258Qm0wbz+1aB1balHKlsfNSbmV0Xh3aaWqacTaHPk3i
+pD12WWo790lDN8hjnlFNixZO3tQmusXQ3I8Iln3XVQEQazaKjDIc9GaUaom6H+7vglLwma/pQTb8
+kZeT1Vp2ejCbQhm9mLFLKw/nEhaWWlwMkb9vGy/sMinHtf+n8amfWHL8UVKcxbuix3eeZx1/2J7z
+ykjULRiAQg2dtRnF2S9/n3sOI31g2c8++63mM8k3DDxCBix7OllCtAlcTZWUmwp/HhaH7edpnLeY
+yHyRIm0ou5j/Vw/pdEsT4o/bWgbQwubpYC7FGaNzqLbnvEkoyG4qiMamYt32TlW1V7ZZMiB64lof
+xjTPv/5NmZ9hALrOyJdiusS7pbsDuJDonjEqrj3eO71wlb7L66U3BcXXILV5VSK9w0r7DgVle4tJ
+gJQgmoZIIVxCXYwIkm2Mf/16sNes2TaWkg4NDSbwtiIq4I/0V+nS3p3NsAP+lwxpsWaM8HKwO3pE
+RxinC0BvffRYxbIS8Fl3SRtCN9yeSdJnDsGpuJpmqY1XWRlbY/yXA5Ku1u9PG7Jt84rvYxdYnUfo
+Xl7yxuGTPn4QfHJrr4Gmknl36/FO+G99V3DsEIdTPqTyXf8X3er71L/xzP/FYUc9QXJzW4nWkNP4
+T0aUwSTaxc70YU0bkMgSx0e2fNN/w8AeaHk5WLJcl+ZenX+dAweZKr33mBRqWthG2DlYo5gD/DuO
+N1IEK052AeFNi3+BZHlN8SEi1HcWVeGRcobiczQAAQLBna+d2YerUxEr7wCzlLNlov99SKIt1j/1
+6KQhFK5d/C3hQeW06gKORXkCHhdO8i4MlX2O8YRHLV6DS5w1jp5bdHZbX9UztOEe+a7vOqWafZNL
+DNAvqx04dl1Zu0YjYneZ9r5Os0ezQ3pZNAZl4Es/j4vsHaC8Fg6cESGiqKzCkZDkGLdj8RARQQPZ
+Yfi154LsuXHjnrzsDKbnKAwlineM+6QTUNQrKr/m+2Pd6wJ1
 `pragma protect end_protected
 module FifoTfe4Bytes (
   Data,

File diff ditekan karena terlalu besar
+ 227 - 107
src/src/WrapFifoChain/FifoTfe4Bytes/temp/FIFOHS/FifoTfe4Bytes_syn.rpt.html


+ 3 - 3
src/src/WrapFifoChain/FifoTfe4Bytes/temp/FIFOHS/FifoTfe4Bytes_syn_resource.html

@@ -31,9 +31,9 @@ table td.label { width: 20%; white-space: nowrap; min-width: 20px; background-co
 </tr>
 <tr>
 <td class="label">FifoTfe4Bytes (C:/Gowin/Gowin_V1.9.9.03_x64/IDE/ipcore/FIFO_HS/data/fifo_hs_top.v)</td>
-<td align = "center">19</td>
-<td align = "center">-</td>
-<td align = "center">18</td>
+<td align = "center">43</td>
+<td align = "center">5</td>
+<td align = "center">40</td>
 <td align = "center">-</td>
 <td align = "center">1</td>
 <td align = "center">-</td>

+ 1 - 1
src/src/WrapFifoChain/FifoTfe4Bytes/temp/FIFOHS/FifoTfe4Bytes_syn_rsc.xml

@@ -1,2 +1,2 @@
 <?xml version="1.0" encoding="UTF-8"?>
-<Module name="FifoTfe4Bytes" Register="19" Lut="18" Bsram="1" T_Register="19(19)" T_Lut="18(18)" T_Bsram="1(1)"/>
+<Module name="FifoTfe4Bytes" Register="43" Alu="5" Lut="40" Bsram="1" T_Register="43(43)" T_Alu="5(5)" T_Lut="40(40)" T_Bsram="1(1)"/>

+ 1 - 1
src/src/WrapFifoChain/FifoTfe4Bytes/temp/FIFOHS/FifoTfe4Bytes_tmp.v

@@ -4,7 +4,7 @@
 //Tool Version: V1.9.9.03 (64-bit)
 //Part Number: GW1N-UV9QN88C6/I5
 //Device: GW1N-9
-//Created Time: Fri Nov 22 16:04:43 2024
+//Created Time: Fri Dec 27 14:44:35 2024
 
 //Change the instance name and port connections to the signal names
 //--------Copy here to design--------

+ 4 - 4
src/src/WrapFifoChain/FifoTfe4Bytes/temp/FIFOHS/fifo_parameter.v

@@ -1,6 +1,6 @@
-parameter WDEPTH = 2;
-parameter ASIZE = 1;
+parameter WDEPTH = 32;
+parameter ASIZE = 5;
 parameter WDSIZE = 32;
-parameter RDEPTH = 2;
-parameter RASIZE = 1;
+parameter RDEPTH = 32;
+parameter RASIZE = 5;
 parameter RDSIZE = 32;

+ 2 - 2
src/src/WrapFifoChain/FifoTfe6Bytes/FifoTfe6Bytes.ipc

@@ -25,11 +25,11 @@ OutputRegistersSelected=false
 REG=false
 ReadDataNum=false
 ReadDataWidth=48
-ReadDepth=2
+ReadDepth=32
 ResetSynchronization=true
 SSRAM=false
 StandardFIFO=false
 Synthesis_tool=GowinSynthesis
 WriteDataNum=false
 WriteDataWidth=48
-WriteDepth=2
+WriteDepth=32

+ 274 - 133
src/src/WrapFifoChain/FifoTfe6Bytes/FifoTfe6Bytes.v

@@ -1,7 +1,7 @@
 //
 //Written by GowinSynthesis
 //Tool Version "V1.9.9.03 (64-bit)"
-//Mon Nov 25 11:45:23 2024
+//Fri Dec 27 14:45:08 2024
 
 //Source file index table:
 //file0 "\C:/Gowin/Gowin_V1.9.9.03_x64/IDE/ipcore/FIFO_HS/data/fifo_hs.v"
@@ -17,143 +17,284 @@
 `pragma protect encoding=(enctype="base64", line_length=76, bytes=256)
 `pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
 `pragma protect key_block
-jWih6ak7/z2P6RpNz3vz503y47WXCF6yI4el8IlW6l+TgV+MmVIpmm9OQrACC7gq0oskV0a5zVso
-1iYHR/MYsGecfV3UWCuZQJuGninuyiIaX9HIDhn/yQ4CSQ/9oH5FvjFpzz9Bdp6pVLZnGb7EUpvi
-Ij1Ec0+LIcxc1HNugTbZno6y4QsNlHf4fjFihvqLaLgmD7f/g4CnQSEHpCW+QQF+ynSAqBJMhr59
-1kOIjKPaV0VFJvJsH+q2Vp4Bnl2qfbPaM3m0aKtvTW2wIlRwq9Okhqc1It8i/V54yI1awS2RQjQp
-/GopcgEfto7uy9bUIia9kJVk96EEjQCbViCOcQ==
+KquuDJhwSfieuUeLGjiLskumv5wsIfJw2Rx9v8IjdyTLnwCtPwsjpBCB4FHbcwdkKJzNX8MEEq/k
+8UgyPyCYeOWfyRMZr802xkyIP+dDn+8ZDRZqxylJNgTdVgQiYoSR8fOpJxUN9APIhFpdYAxBBmrm
+8HA+i7Ko7uJaT6cxB3d5dmEm+C5FcBSzVlPuz01FGy7U2ndSXNFI2kZl6B0U3PF9BlID+Ir4AoSd
+vskBY5zv+zT7eLpiGaFTGPQpQ1+4WEGajYr0tLw3v4JKyzc72GuT0Fvxru7ySRp2ltP9CiQuwZqn
++dfOZEr+v3LJKpBJ9rztfVQY7urwA0mlnhji/Q==
 
-`pragma protect encoding=(enctype="base64", line_length=76, bytes=7136)
+`pragma protect encoding=(enctype="base64", line_length=76, bytes=15216)
 `pragma protect data_keyowner="default-ip-vendor"
 `pragma protect data_keyname="default-ip-key"
 `pragma protect data_method="aes128-cfb"
 `pragma protect data_block
-O2Nzlme2Mna4NaGk+0ccXggBKLdRaVFHYzJBt2F0cMR5xLKegO/bY1ghscQpWbSn5La67EKjlLmL
-DOGTeFTR+opUxtW5sEEYzqXO/ryG4yP/3zdv705IxVTF0ElGStlaMYZqwy2Qp95tSW8NiEeBQ2a+
-53PusoxnjnYerJPEcpL5HpJXBqW8t4bqLmjPkkBx+w5sJ1sje9Zo+HjwDr6FvQIM5LxhU3cizbYM
-Xlw3cJXyXvfdAWojLVzkp0TiAq4vuD+BB+LsseqgwSb0hAv78HLrFbmEaoZLfCT29I5qw+1OL+ZX
-IhcdiIjNOGud5sH40vxoWX3QKvoruaY0aUH0wJKzg5um2pnfObLMnSCnlv8aFXbr7tQ6NLZUcdna
-xrL2qWVsRBk+Y6R9FqOS3z30wMr778ZzZmuT/5Ru1nvybAnXEkVSMJGf1vY4qE6DVSHGMEPUnKuV
-rPFsV+/m8KvU8xomPMqkHVbXomk2n/eRVEPKGkGcILhyimaGzdfVh6Z1z7uJnVwQa1dDuxXABq7x
-ZdRf+iM1etDEQ5beMQe3bbJFzYWGQQCU+GEPKGC/OucKEig+DW/xE80xu2OilLALj1Xm92m5UTTc
-SiK1iOgbGoX1XqdmiR+mj8FSJIwIe4bPz/l2pWUCml604cZlHo5zTk2EmhPBJ1uwnO4cxDCqiZL/
-nBEfAdWGEExLiyZHZlmBTlRJZEgC7L01/KMs/EpRhDH9uy+ELO2r7unrDHgw6VQp/Ki6NCro7kjO
-IK5IEFHTJ+uC59hK9dt4+7BeSLLC4v9jlVLaftfRYRLwq49bpdge6kX/iTRkfJX5ZpUvuC0lfKU4
-DCd5rj+lQm3LyzkBYYGjALjtGaEWlXVQMw0e1DiKYhgMs9btYNuo+7ci7kJcbJjcUJxHwlD8npoS
-NFKINv9CHfsymC7I9YzgmM/QCuGcjZkVB8lfSCGjo5j3aXNC/fuExMJYYJtU9Q0/cWZ3RMeYC+vV
-U/nDoiV9iaRnxqDjm/Gys0PniHc9q2SoY9VpZB70Naprm8QRVF2ofkLl3+x1rZCpnKG/It1YwBkx
-8mAMsEVp5Wh8O16u46lvvlTu51msABflo3VVgcLCJ6J4Enq+KaHa7NO/AyY6sEzej1fb99nHYGVx
-8u30eWAM9bGYiz94v16akDtzh/TvKVYgTNM3v2wmeSN+l7HhOjM6hbB4OkUcXEFz6yMwyZeexTlO
-Hs6O1Mxn8p3DKBUkTjC57vyozGZK1ARJYa1PykQge7TaiROGb1ScVyQ7UOn5JIvoJI0Imtx0/l4u
-k1p19Omc62p7cLdsGK2M2JbTx37MklJOWmFpPfXb1jGW8+a4FS1y355XPZgepOd1xKfxIHv1GhHX
-SFoMe1WZGTBTQJ9bzK1XxZ4xah5L+tKUBJj2C/CVGADs5sLIIMNtaX8/DddFYp+YfEFdBdAVZ0S4
-nRX2W6rq7TkejfnaJ84qYtGiomK5k1kedbwTTdbweexL5Il/96ajTVYCrTXReL5DnOQODv5GkJgY
-IC0H6Jxl3ih5rr5fj+QOTfBdbUE0+YMxEFOMqtzg0ac0Tdx2E8YwWiYpaRqcwFbQXGFYhS+kZCrz
-yYrWe6Nt9bzyZhsjOj1j/mmw+gZfg0pW/VwcgLv7h0X7YAgeJQTbc2S9bE54jjrgmRuTIDwgp9dB
-j9R8XY4oufiqe0kD61bDGdboCZ5x6RLZcySd6eukCleLs2Yd/7W26x457xz54Ms81ez6L3zMZp3q
-Z1nqUvjlZNZTFrOPcd+8e7cDa7JNNKMEIq8fRfUfIKcaKlKtWwctX5kr0H9Gs27u9I7YTvfMyVyP
-eYXc5wCKGYe5tdfQcuy9TuzpXNljo4LQRZuwvfn8IRXWWeLhjd8i9TCLxt2VMU7ZP8UYI/irUGT/
-kIqsK66aRzzLp6uOKztGCOYm9+wifJ/hrVeSc11qrirPY0/SFZhWmV66Ydvxa2uruiSU8r4MQNTf
-wSrrydnXIjxU/RYrE2P4WAlju/ixv6BDc56vKuasKvQPS9f/vYddpdoE9HqvPo1IbpVhMlmK7D/z
-80Uta5liJv2M/ch5nSSvHClSI9VMobGJUiXbLiwznBLm1aou6RipV0As4oER4D/If4/h3XCd2IsM
-srPZNhKTtE628Xx/3xbNmhhMvwqH6HJEWdxOFwJ0yk+rlahPVL/Sw0B5rgXhv4q5cMzpZAAaTUZj
-7jGxis7OT8wwgODzWgZO5Ww24uaOgpjcj1QHM+uMfObJ5XOsJfLipVYdfWBmvEWxwTZFaE1UFDPV
-O7sjPKw+IdTMNf8smJmnIPbt/bY19ql0weUjj5hIJbp2ChON6LWkOfBpIflnwZAWGeVeuEnmw5OP
-p0wYcXw4G/zWId7Ak6rg2rVcuX6wgmJO3P2GFqaf/bNTVzG8hLytftmx0VmJH5Y5fneKewAcluE1
-nKEQpAZ7iGhm3SihQNxZ4Y9dtjS20VlWlnayNrNbICP3XoksBX1PPc3w1zMs+7SKVPtjqBAr/LNW
-zB3agzwYyflokVMSf415Q0lCC9v8tnxSDBbMJ805xW2HfY/fcbw4KXGCgVMnTsyw9Et4s2h5FNWO
-m8tdCKqaSCthp4ukotMunxzX55cSuKJT7X9a6U7a/UYSHeagWwNg14+8wKR8PA1XFm/wNEt5JMYC
-Mr7EPIPZTHiIRQVoa8yvSrdIJo9/uTaRNCOdmoHL7OFnp6RocP4L0IZVXslqtVXxFVAlbez6AM4F
-XB0t+bWFGJ0+bF2ZphCqA2x95aVlX/kJoRm66QPBUw7vmc+OocRGdjQy70fujzmNm9CsHrH3LoDS
-qNAra1lwQjXgluiNbIw5/QABmC4L7iweIkRlfeWmVmeOl5r7wDgIXSiHr1n6QwVI+IUdyi1O0HD6
-DO9EfnrOsZzFjIOLD5tBV44TFghVRPPQzVLdPK0ZMRG6UZWGeJlpB1+oWLfTH/Nr6UbJGGKj+BMd
-4g6D9r9yrbXPXPJ3IdyAMOBLKwNVjw2wX+Rdqzr9Y5/bVvBGrwrkTosQ9TZHaY4qk3xidvCu55n0
-WNFSatgvGEPohnftF/ErAT8TXjqjurWZkEpJ9yFpUAipcBUR1QIpeBr4ks2SDfsHe5whJIs8TEVr
-DjXH9hjACAHugbaYjWIplYSpVBn3f2w8s3fNXS8M6vF9zDfHBrLK1sT1iGJKHQ4afkg8LFAdV0Z/
-C6JsNUCeqnnfksQVXNDdkGYyYP8HRJRJ4WI5DBkTBe4nsgGUmaiSdCR32IsO76PgtbjCKLsnebje
-aTgbrK9Lilp6NZDQZUCCzfMqUT5B2WQqiaXIM89MXIeeGcpIxfe3eMSk57wFRy+Zc7my5J1vrIWF
-OYvlx0+xMNvlPRnTdeSDU93Gux7f0bNrPOwzm4F8YHCR0sp942AJL+XupHBNz4KDrzrRiDYevZBO
-qvXoFuslXBaNq3flkqDr/a6wptLx+zF8H+vf3uB+yrR0i5GAKIfmg7u9X1XvnywliVLZhhlvwip0
-359tvWlJpnutpKtDTi0BObIpbdn3bFrPmv8uP0fovaP01i5/22Ai+/QbnnkZOLmMBsHQ52tY0Cv5
-Kjblj0oYG4iVIVnu2vmFAQDAWasdHQCpZkeJbC0dbuIyH15AMuFir6SU5dKtwxT2POvkCAg7+HTL
-lmjuXHPm5TnePZMUOWjZMyxhAgtQnFlYIcyukCvyZJKriVQH0H46iPbx/1micozJ1JPVk9tJuUFj
-SzMcWjoldfcEFwq9Z9ANHurpCMPVYErtUXurIxPhUQUzqEs9uS66HXHoHiokuALKqQtwzau42vGO
-uVrWsQA2zWUqsM8g1lSzGBQ4RuRg07nNWMCWokqwg1MrevbxBgzlJprIaYQ7/Kql1FnUJPTuBYvj
-LMy+Sg9+0JY49DdA51YjcMZsPbxhsgcOujlJD09u6Ht5UkhAf/K1MHT+hTQ0wK+A01/hVmOEHZly
-SCMVm2g3y40WgunaNc7dwtWEIdWf5/yxu32HtXpwYFHtwvLR/RavbTPb4jQbeqoRVe2PBIgP/nPY
-AIrSZCDKCGR4XVM1TSJ+EEga9+pthHswznNswE5Gfhb+R69NQ6/JtJV0vyDV9SjDcpEJ8jXWZ8+7
-6CrCh2SCSm6dNA4+bcVT1ScsUf4O0k56dwDstz61GOCBl/aY7rVk0t4r5XBhot3aBVMZokZFRjV4
-zrLWl8MxzJFuO+g6bz7OiuPDimaGWzPHnjk5kiwkKa3QQiQqtnzpaxRcU1Hhi7Mfn7TEF0h6+gfC
-w3WHW08kEwVEGeIgf84XSQ87SXJW4+5tfrnO2jccf91jK1hwXdnSuzsA6+EYY1xbR+vJLQ9SafuA
-YKis87zderELDBqQzzVLk1aWKWXCADRK5wN9CCO3y9dqZSBDAAXkOkGH1u/A4VunaL+2Jk1Xgne/
-koP8HCC+Oby4SpzqMvkj1qmc2e0ke5jh41ieVNtcaFxDizXSW4QxRjNx2MA3fuDdchl0fNbUDVnq
-eAjA+YqBQ+qMNHMVzNcSxQfjHF1AJwH1k0ikFxTzS8frT8HNJnEqo6ri4CjongwTYIC09NByfCEa
-pQxP27Jx3lU/YIKq7usklrvcZI84IaR3ofFHqVVVZwgCyo0locP2mcaTgGJTSP0JIYq3gV6BeCbq
-Y71BinfRAsXDmvpsegIDQeWUNU+vbc1fnzJ1FiqGz47Yc+tzlbvDbLoiMyn9jYgRnBMaMXtpZ8AX
-ec6dbV4/ycplJC2L4htVoaxG7fXwMuGsA6510Vr3jPAh2TJiBsDrLaSi3kZ+mccmmfN7TgC977za
-+SH6+hKU5ZUcaiRTHjDZHhk/JDzfxYYUtdBTxZ0C9XFLoqfphnatbwuj/sX9XpKA2F+wQI2WTqNJ
-2eIzQ0biUVBqC2rL5NnT683OktCDVtLZyc6IceuMyK18tj/tyZ1sceWZd9JXa+rkDBsl3eOkSbZk
-ceMyeO+dcnYwVTqv1w2pcWz2vak1CzFfw0jryEm2NyjS0h/Cqnqwxpz4lqMwNTxELM6hhIx/gJHg
-EWeZCKUTxirTNHks5I4NzR2rB6yzUcziz/u+4+LWA3c4Peid1cfmY+jJFMQ+aOcIU6BbR5qR2MSe
-4QZ0oHoBPkluizvBgWaA2qDDwWginLJol2yWVW9fJz1rJLXITrOLLnwoWdFRERio39Ru5QcB2Cb/
-7qjNWBSisW/ZAfhvtytO0KmhmZ24sqerHKz1ofv6xSnf6SGpryTCRwI41GEYRjssvF08SfZSP2bL
-utZzDDfFbSSslRtzl7TH83jz3j/e9iQwP36zxwUmh0trozFhF1ysC1e/LfiCDnpTQ6O8CbrjkbVy
-/rFwU0vqmb1kvGd+vQl+TS4BBiusE7dYZ3guf1YXhArCqTRfgzAEYKeXAZRPjgFFlpSQNXqnqAuk
-sVzKmhVtmW55mJX2bCCxmasUONkp9jBmJghGrKjmixALy3FeENyB9AAsENYTCJZuMbM0/hk3STha
-pFptROm6VTC8YeDVWq5bFV988aXQORkoQCJtswyinJlPCOa29X6OSu59uxVFUDB6KC7ddXFzGbSS
-rxbHiBMsZ7EV+F3shrlLpC0yTWsuRY9rXwT+AJIO3ABvFLFAjaeQxAiWzMDmtGkjqfETTKp8PXkz
-ON1Ur3L/90SScA7FgNTvji5GnZo6TcJI2Zw+eyd5jv920gKiRL/GTsAjEw4BogNfOjq8rUhrSWKx
-c8gp7/n//NUQzRI8jr3k6geh//NfLFYig359eCWsPu0IJ/j7BCU141K80W5h7DRGWDCgmv/1qNG3
-aZd1x4T48cgM0jThBD4Otr7jiLwEQeFjbjk+R26HHxlKCKZSNWdyLehPjSLYSrsAFLvnp1EKnra6
-zjQLyzIwlYXGsKffq5QCAhVbvA7zz9Cw+u/5Gj18205zRQhDOGjfUCDiEomoJjJxqfN2G3UXTzjq
-DXZXwoScnSCSootzOkbpAN5MfqQbnsqT5taqhYayf9Ouacm0V1gGthDl0MZBcLFBhnyfWUmp5KO/
-XGSJ5CnjRDJZPQpGuYR5ZtCSJ8MxVtYfKwjo+gsMDmEEJU7+wkMdXAtjBPRC5db0bv0S+yRS+bJ5
-ABBVGBTKRJyyFyAuRi6g3/m4YBXIuuWaBMHc+ZW2m5otA6SwMcAz9QaLtDWa6Xs2jcPLRfjvENGM
-J9m9uTDI2ZvjEphH/YE+XpZveNInnAz1IOIo6mC1xloEzQNAB+vzMRpQnVFbdd3V/zjvufM5Zm1+
-7X6B1/YRS47sZ+k/iCRgHATj/Jfg56QM2LkLslfALL8Fi1TRkFWkA1YbGyorLiwK1AyCgyIaEyLx
-cC+J04gZUai6UAVMYL2JbGH/LcenciC7OhLPRORk7xxS9ycsF2+MF1Ox1caBAHrCVrZOEZDpxjQp
-Cu0T75hSX5HP1Q8gOa+O+iiEckhFqsxT0Y0dG/tq7mDMOAVbeNwXkRyRVSAaHF4UuXeW4jJK1z9D
-Cg6iTCu65a07O/r/Qkr8QjveotwhgLEaPMgyIffkSJLW4hdJfVri94hFtiyiXqKHUHPwlJc8fkg1
-qwZZWzltS4KoBieIDDwyCXVpy0N9i9ub+pNg9mxKB+WMIBRfbmiMni+ayUlJBcjlJqIG97999Bhr
-6Th/yp1Kx2fwF12gdoqRKDkQ8xj0BeU4kAXK34BrijlMzXxpJgzjyucq00FwVtQx1R88dqw3f4eG
-idg+KDaJX1eh811L5KoY6reWqqCrTHWKOsWCNCDghbkW68Wpb4itiAtjZxkARbId0XWADzj0ZrPd
-7xuiP/PVAlTBhd239khQqcJOoCPYZ3f/Qab4Z8x6+g7Ce/7yQrZQqagaDIeUvnOdE6sFZnQ2CFg2
-R0J0pYVQjnES7i70XU7dZZfZkJeyUqlivPatzpOPh9q+1/tBGC6tLPE65Zl/0pBU59fyl3PfJFz4
-2JbWk5tFUahDxyc491HwhfcpnISbwJ8+s+N0kL/osTUWRVtU2JuB/+I9dhJzZbzr9d9bdGJWIfkl
-AZBzL6TynWlifXyKW8mUgr2LV6WhT893fhIAfRngIiVGkBQOnIbYbmr//vXiOCEEopAITuwFzCqo
-G+AW5fxW6TziuuqRMq+fs17U6OFWnhUKaC550ffK4HxOj6DsBCu9Z0gx/6TO4FCn5HMdFo7Tkwfj
-QUQvF3iT2wotDY8gr3GlfoCf8oJxLKLC8Vozsuw5x/y8gds4VjRe3bl8IoQ+kcxtDB3vYrNmRgZq
-xfo6IitwTGNECcpa+Jc8wvQMLPAldqa1tNJnFLt9IV43VcgImPIN7rkRGdJT+gjqyBg9q75J66bG
-Cj0xy0uC0jjbpkSVgvZsUgp3gDAwIqyBKowUXLYKWAYUZxkmJgN9ujearFIcArj9/ewir8LZlf/K
-ddCdBvApr9KTurOMfP/1vA0D/gIlTKP9Rzn1atvct2PMtXHWfo1jMmCZbT5xGJ7PVOjeLwFmCzy3
-BNL94GqH9HFU+EmQ7W+jAy73P1CicUK3fE5Yn61jJuk264W65g+xZoodBT35YOI1RI+miKIry+QD
-4s6O5Dk6LUf4ehQqGWiQMKYkLkeESXwm+AmTe8FRyf/3kYI/Vte3VQxDj86YGhkVmAv0asZz+YJz
-vhhqLwIbEnjk5k+lYOkOmWvWZG9jhg3Et/fZAe3hS/nfYCoRzF4BKHUmt+dvrnvfnhB+STbJZNcT
-1TV8V2YJGVqeWbGqKKv0VHs+DTjcFxpnu5VhDN6tZRI8/m6RXzaRQC1QCA5Qc8shIU3LXQiRXQyS
-ORr13bxq7apbS52fk5KCj6Q44L9wrsimYSkQDUa8Rq6vbUo+/iYVi52mgYgYmqN1RpRoiLSWU74n
-JT9AfOwPWoTOrJ4Rpo3Da3Lx/EPYXeAxgsFxIERNNTtQr6FiLcyxcrMRYrJi/P6v55IcSiLNciqs
-63PUMtmYt2K2H7W8Wk4G6K7AlYh230N78s01YUpIl/ridB81Cty8LdOPoMowQU8ltsa9nbZo0ryi
-XSI59LG0NmjXQvLVtWtnXMy8LX+d4TwRkS4fn8QDBNeHxXtleKk478FGW8g6KhNwvw8J2oDA25FG
-vfL9M0pOI8m81KEQkvJfOiKqc09BWQSkTFNXFU4xVi1vMx5tdWTV3m8/d64jnb6V6MiVrU03/qVH
-qOFeFLFwVQ/r9kjeouXycUQ2qGgeNJK1cD8jP84C7jydLGJBF6g/bdJAFMf27vwSsKKnUNmHV1yj
-pdOskZVEW4+7KBWbdYF7PTQhvCHJBjMwRoyBYt1z/mXRRadPBiajlN26VB57J4Ut167tSN0N/pD1
-ABW4Mtzf3VQGQ3WLsGpN/9V54RkuKo9CpN48h9s/LdYn41dymjyEM04hQyPfu1M7e6sC8nk5XSr5
-IGxPf5KmVkQGu1USmyhVYkdKWVTtz1K3FlGASQJXdBsspZchohil8L8LYIFx4iq575hy47rPUwma
-wdMZbXT7WiIsru+F0+N+klJWToY0wDJnNh5Uy4VUBBNHDNF4uJxOHK2cTMRE3vc0ngIz6nnA83Ac
-RVsWE9gdqxL37Niq+epnF3vYjtxPi8BSXvRsVJz3egOiRDTDRZPdGweHdp/N8ulI4bGs33yJ+hzT
-KbcH3d+ZxRnbxv0RL5pIVE6XXvFEFXGAbO7KudGMipW6Wl5LYZQFGYrs+jhQL0m1g4/YhSxOS07v
-LAiJFtcr7JmYprsn51YJl0wUzH/EOmBqfxKzSHSCUUxa4Ycrx9GAADuWqt0WIFBH7JU+CltNkmZl
-OnP/A00IP4F3Dh5jZuWXvx5PAJan6GjLA5LjsGBvqjxVvFV7sogKQxqwl3Ro0ywqYGw9zKUEujEx
-miA98l+MjGlrpgfutsJ3afCo069lqeum2IhtcCMSd/VS54FzmKkKmtqCsShJNKJmJaIn93Ok/vLB
-VW/ZQ/bIN/6WtyKNW6tnziFxsQljJriwEXixd9i7luONUjJ84E58rbDqVLIuWRK/O3x9TZTtoo1p
-ro5ig67TOmSHEVNYZI2L/knXxS8W+qMO4pNhzEmBFD4RcxhJmtpetCihoxVXn33N1D942yrORueb
-W+hP13yZi7SU7H0/hhuXDYWzHnoOJJ0zqAXmFfRfVCd65CnKLaX3bMuavXZbaVZJWsN316ZQW4Gu
-OIIQrSwlZpIO0bTHUG5s6IiKrXeik8KN46YDpNVnrB9WXX11/1sPUGdUQ4/jrbhbP0z7mcAQqWPt
-9S2hLHcB81hNewpzu5FW6X4dz7TBKVveFvc1BVLm6g8SgvdpER1TI31LyVUxDUcCfsXoHlubcP14
-8MWW22X43JYAUj07Vw73HTt+elsgCufT29aMN8G6BOjZ/G+ts1wI575pDQKcpUe5qTpaQ0DSJgkl
-jd56Tnc6Tlqz8ewkUhq3Xd66JuBZqE1bUw7kfWyZEM3rMZXpvoRa03UOozygede119YdE80FmHmH
-/R5q6GCXIUDXj7csMJvkt0yybCxLUDuR0HYDqli14LWQenh8bPg6yS/EHPDwcHJ8PtMPUb/OHYOO
-pkr25MEyl+V31OQ=
+D/pBBtkvMsoNUNQOA2biMmjOiLRkjB8Ia+X7tL0+6FtgWrB5ygtP5L3nCtXMhTy9vArpsICcbxDG
+gPP/4XffCwymM39lKaZs0G4f2oIjhPox/uslnJeMvQlJ5+n9OC3VCl+MdhN51MxBrh5eOHatBe1l
+/EGNxOOmzBBaOYuUYnBOkHAfLurY4KPvG89/WCQmcxxsj8kKWjVn8Glxqh65XkQ41VvZvJxNOH5t
+nP8/4euTypKB91P1hPtFGd7T8h7s4oMoXUH/w8LXfdi+HPFiwkztb6kG9rVh2iu8t3x5hVyf/PAH
+cjCTrHlLVFnR4xRB/4oCD3YSl52zzTrDm+PGtMmWWCmtYoLgTgX/gnExBR+EE1fGF+eniZgspdSb
+osCG9hUMxCMwL7yH9C+krtygEnQxit7bCxFppkL03NMVbv/819534srJ2hHIcfFx73B1UIuVpAvu
+3vncerNtJJiLSrAltS+Z6lvMoiIDGYX+gFirOEr6CGCjrenBziL16lrc3xGmGddMtBuqMoaW4p/s
+fW5pGTIxV2zsqRJsq7rluQ636yuCbUyOTpHmQAtA0QEcFrs/034F8e/nfsDsvk4JR7OooFdQCasA
+eDFVC8ljqgo+vk+qBKwV6vFoMT3uadXYnSzYU3w3MbA+zKmzyFP+6DOHZXv1AkNl0cv5Jf7UqD0m
+YqKRKxO75Nm8NuAl0YoW7e5H4SRyaKh0eG2uAptlJme2/q7vyOADBwq+BBRnf0VR2rrz26v+PGlu
+zyais1BfcMOYm1T+b+oi2I+UMmDIWzSZiQy6OERrPjOGALaNPsxDskOHR/6QR6XAheAW91/G8k1D
+AQiSpCzRfa6McbuybjLd40iB8QXAHw99m0wzja9Hg/37XqpGsRMOYfUYCVUa68wikuS09rH8ycXn
+7ZnB0vOlulO2mWn5ekiqJas8i5tn0m9/qJLVMtq6mL0CLIPfpsURzyg0iwMFjQRFWcPvVDICrof0
+fmNfXesvcBWvOFPbqAMkt22V2nI0oZO4KQYShMFOnZ9LtxHnv1rAZ8OB0uE+kEt1nBEOFzUIMjih
+MolWxg/zkFckmXnW4hw/d8vmwZGMdTtC5pi/UzyN1Mf2F9oj758ITdBM4G7YWjsp5N7RvRmHWrIG
+2wwjdW1sI64DWUImtJuUx7/b+1EcrBMcMRG5al7AuddhBVG/ZPeUsn5MuP3E6uwOnWVkyVMHKsLW
+aiXEwWxcPyier/k8Boh+PZld3ocZx+iGvD7WQvmDXZo1QK7YMr13MIbO63mkKFVtiQs3BDa8W6Sk
+Z9ywR2IppLUUdSvjyL3emhXvXOUvdTtNyJo0BbrW3S2kfXY127W05BRdvMaBlFJFQTLfDfNiKG1z
+6K/29w9i3FUS2IuV3qDlQ9J/DS4rKSIfHGbDEVWFOQAYX1ntwjX/j9xzG5d9paJwCNPcZqtPdeNg
+m9wtzruX3LsLHiCSIUVBMg0V0r11u9hAaJKNqTEBSGDNkkHYca4Auss537eYDmRaaYrf4QE1D6je
+wt/+sSzo3P/EtOH1kr06FXu5N/ePEi/6mgfJbobkteyPuLXcgn/p2gaXuaPZGmO0HIVEQEcYIJP7
+E6kgJtkk2+/aVEqBCOjCaRRF4Ks1DCGyYthrRbW6EO5VIFtuJSwMPtG/sVSwMnXWONueCVuqlNQ2
+zlTishpUhl6eRkXJkgJQKojFRviiJPWQqGeGLACz9jKPNucjffSJmexkjGk1ZaL24yj7kCOTeBkt
++Vs0q1YO7VDrKwyPEpIf46LtZn6asfQKK/El86cnBiuHZsIYQXHE/KcLUPlXX+jwFFMkV/bomJew
+d0b4NXTkM+oVzkyfpp4L1VAOQZgQ5jl6tQAcnGmZfXjP4QaalUcAOffQK7LWB4zdMAJ2jScyRF8o
+F574mGMIw+vGfngZK98/TrXC0sz7XlprMUiIeYsTeucajuwrklNCUWsufoGxmrCvV+LCqP3TahUV
+9r0hpy14D8RQAN+wCufEX/uHzEr+IAqbmXMeAjsmHuUxviaGbh6ftnwwL6YjfQvFb43wbxfaXkIP
+3dKFpH0uSRBNDoUGc4WPIF2CDPKdWC6CTcMUybUAEChBldnMMq+WbHWPW+lVO8g+Af+vyhIeC7G0
+Ia36tFbmZZyWTf9zWP8wFOPX5YTcGW/hm24U4YuIh2mm/gE7DHqEbRqFLpunl456Wtxjx9rSG1a1
+OfoM711gnTZJcjWYhfqsUolVIPiXHbwcUOmukctjWVvEPLN40M2VKkydVs3zytPFnaP+1YU7Xe2N
+71KFvi6mJIuRgTJ2HpQMaFun1U72Rgr467dj2Xj40UVzwXQ3Agb4OAUurRlrbAfdQSmhWYW7xgh+
+3YyOXj23uhcfQhKnPyBZfB9yFq3/JFFvo6RiolLJ//o7/NTxaYUieMSurHVaqO7f6b6AUtZXK5Gw
+GqxbnG/j2ubFejHBs6hNqe17kNtfllSy1hYkzatu6rGYtwavYevJ9zD8LdoNZ62XaBqputY6mC9a
+ca9oBsuoZUIlD3fGuuF6b91Vnq8NvVN9AnxsPCZeFVl7ZrwCXN2rS1W6L89RV5mmewlkkisSFhxp
+ebmZdVjmvgiZjMKGht9EuMeIJqoc+T4wPLJdYCOE6tnryJhefUeMMiXiS/lwa+hFkNeF4pQfaK0f
+v/IeabG5jv26z/8ijmXVcZklO22wb1pBzhw0snM5WwNhfqKTm0xwb8mgiJOhUA9j27qe11r0bj5q
+TrmFDnUmyE8pka3PHHHPspZ7vO+AogAQgg0kaw543zPMcZr+esmwX/j8AyNujZF5QGx32VEoJza1
+hg2DnECkFs4USmWxBvSl6dBJZsyhU1B0+L/7qbpGvdkp324lz+3iZOUz5j8JVyqRRqHkaGyQhjog
+hgwVwfdj+ePt9KXz14SDuwehstKB6QeaRE+D/KfpBoJ5llgcHSv0p+Qee81RKANluXZB5TDAWFVA
+T6ki79Cgu1WYWuUSVML1OT6GgRYWZKPYL1q+zVJPguQHfygIvIRRkmAsqDXjXXiUn8cZhsUa3HZB
+PSuG0CP/L0tPycGN4H1ZQgpIBQG1vFejRE/Oa3b8TZgeRG9wfmVISmDezWcz2MJSLZI8Wi8b9COm
+235BJdzunm2B+i8UqHcXQjMshtDZ+RdLweM7iNu2ti36MLGNqOzeh8ds0DyIE5d1rPT+y25YhHQi
+lZpOxLAhlkLn5BmmVGzOaniInxEWRvsOWM/Rh3d5cV7asga+dgUt2PPKeJi3jLYhoNMo2pVJ3EGw
+B4zuthSrKa3Cra4Ecz1W8+AqAcywjBw9xaLJjUPttNmoHiw+UOxuXO7te3sE3YQhmPFbSB6K9lij
+pPqNK9v+d5AetNfvwAoSHoECm2i9quV3ftww3ykXyq1qPt2OMaOvwoTi1k6TgrUlgUMr7Wyo2hES
+omCHKNVulqfpLVSzvIWAirpJLFFkQyMb/JxGcSQm3Q1yBjgHaowJkILRR9jc4O4d+kqxJS+9jOyF
+s2X0cQVndJWlpHz0Fzo9ZHGGAkf0s3jD/ZKrmxCNEzlyrlFrb2Ar8A00Pk4VJ6eFQvXSe7i8r5IZ
+ylXhqmdeO1hi8cgTB0kuk3e19NOIfPztfM7nafGlaJ/iv4D4ZJPFsztJDVXT3zjONLawGJmswcXf
+xZ1iUP9Z+aISeIwAwCufwdNChmrglxY3r+uej2yjcN5mzr9oCJv9cVxc7XuU7tiWuI43db08qNCJ
+lqOlsAfiVV3DlgEbbFeN9MEu0ObnKUuQv1wZs/uH45bQdEqCT5ON3a/d93a7ifnzhvqjEAxkdy1r
+FvpgPZsQx80eHWiNevsEuSwtEFrwtltYN76pT5254CimGZqMdfbhYsnTAuDOjaZ41R37uVAMfJdM
+HM5kKYkt1vmqUivjCqpl7T8BfZGLet/lko4cyjAHKL6MtqePLCx9M3JmDYA76FsgOmtHRUhc7f17
+nciP/+1OrYgo5c8pFW5DDZ07V1OiobPfyTyZIuM08k1CC6ez3TabTZe2TM1y2N7OTpuI4pyFtw4k
+Zl8sofjrndk6nKLpAvjpfFOZtJQd+gEF4txjjmMhWULluLHaboSBEo8FUIL4S8XUlSKeBOgz+HaY
+YsoCeR0jbTL7/OoZRRwFYNB8Iz9uGTYtmB7DECJG83N7dilttJso1eF1lRQtuF6TrZ6FVNn7KfMN
+cITpuunOZTRtuISkMxLQYO4yGW2OjoP+8k2amnL1slvZsKlC0fyihSwFy8ihg9tfaBfLWB0KwJfQ
+I3Ct+2+jrcQy2Cl5WIuTPnSpDJUkziI8+YkA0/7lfvjv3RLMB6yFb4qGMXtPHiNMrICi8ZzC67T6
+qM1JzE2YruWXMsvGIbyYNG2QFYfpxGmbwJo/zU2nsOU+5RBgaRk+KThwcP/tBjD6bSnLzCqQGdDS
+BPhkBv0i3lzEgX7Wn1ufTjWHFbp1R8ai1qWrhKhUv238216eAUFU3J1C4pK5EB2N13w7Hg77/ToG
+Ou/D5bqqgv/M9SYyOAsLJQN9WWZ2BtLF4oceipWEHhhIGcE9uSzOfQQ+jG/dNTqFG1ESasuzo8zU
+HWs3SYU3TCBgS3yS1v8TL5nzJ7wSiCtsVewPXI4UU8oJ2vrWMHI5befrrVV+CJVhE8tWXQEY7NBq
+zWE/a9uWmI1Sw5hTX9mxP323ntqH1VE2hdlkE0WuovBJCNDd1Ex/vxmccCSybz/P3HBDC3ZC464y
+sh/FDnTo+6nSGcETs92Xd15NNvnf35FTUrlNnNXhLfSmrg3SI2eokYsJzt0tqjYokaZJUMOALyeq
+/UiKCfiE1L0LBPAJbwAM/I51erFBmtIBJ0t/G7htVJDyj789SbAJfTT5BLYz+61sRfjMxXmkYyiD
+UwkC46M7ioW8N3En2Y+6y74PC1PTanW9biL8gzBT/kxF6TV+zaVcXgehQwO/myGLFPys3d6nh7eQ
+VIoJl+1/NAqpeacXtv37GQHGrDBtwrlzMKuZPocYitZDLkgVv/l/LGECvCelwgqQFvEClD1/Mdyb
+pNL7TDldS+j35f1gFAFT3KwOXmXLEDXiXOZviDlLSktfQVmMoBDvIhriQFbEtGgaj7kr14jpj6yK
+5kdfedHi+4U8IbN1QaDaZ+/DDGJIUDKOvmjiJ8jQFAvK3hOSxkFd6aUNr5kX9kb5Kmn0wbrtkQeQ
+Hjnd/cKpb6AbQWz7MjbAixEoqFX5OfRnhRQtTaep71AOGPhZvyco9O/NJ3GWQS+4lxZ1gfKj7Ax3
+Sh3rAjWmOLJo0GRB37QS65oUBAPqbJMqZTPZLRNEzs8+YbeCxNVES05Rvu76+YeE5PbZuuHcJqVd
+OiqL3u3DsLXNFkWZXzwED9gccAtmyU4XZU62zMSQTO/MfW490N31SckMtu2WYrTa/f5ktpI5MDac
+ccHs4dVMGbR5bL+uUVVskJ3D/8Y01wNIX3KqcC9+N1Hrkx9W2iCql//043zYG/NpQg6g4l+3Fk7a
+RagBVFncPqngQIyrZnIfFhmYEtd7jzW0i9KHfCqqz43OfL3dgPbB426rxX3qq9hQ57ERLEKu+0Sr
+8cIIk6upR7kTn9r4VM/mynFv7iSPv4sTaFNDl+RizLqfoWFpIvLAUdw5k702MfRF1DXbsPtyyOVc
+q11u+wLXWv/L7QE8xaPZQAtFXXydvetooA7KdQAhBuoSo9YFBo0B5ZC1wYUMzGpRPDmsTbp9PQNy
+UvFYHSQSho48NKXyilmARp8QInxZYplD+A7+nEI6KDah7m3nfvTI03E1dJbdY/HTVQoCMDe168Lj
+zfbMQiExVpDJike+G80t/Z/Ox8uaBcUOLG0Qt1pmtAxZY6gCkCoqrevx979c49Qc8aKbfUkYq5At
+7XGf4vGsYkqBTXsWBrdTZ/I195Rf+3pl5OxH9O2SDKDMM/Vbxw+UkgXHt+64HNeq8goc8uhFpUcX
+F1VRWIk0HZJPoorQ/3cgOcPkvOX9BQSCCjLfhn+u3E3pF5PIjiTzwtpWOv3Or8in4OO3B264s1lY
+zdcU04vWn19LRRySNa9NGLtbNQQsVrrUqRftzYoqLJyOnXPOTIQq7phBZQE8n1u+4pJc9xDeKP3+
+qOU+YAEqFxe2vqhg37oPWitIf7cP+kdsWw3ud+TLuO1sz1IlYtPtdd1z7svfInvt30L9n5UyQvU/
+HekFdUqIM/eky2ZIXO4MZY+xF/+Jl9+KtpBc6G/Kr2KPDaG/g/3D78W95mBPM6UhnAOweG6PdcKE
+knSe0fpVs28PJoLiTLAZQ6E5tflqYvGN+VbGd6wZrF1yP7e952PCxjhmiLK+bnag0lcDAiAkBF9o
+BbMkL0Ze/86KyHtJq7htDnLYamPzAzaTNUDEPV41F7cZKrO3RYPCAoKb3UESOGTfVH8fuTvi4j4g
+q+1zZHuaKFGZB/6+ni57LPSjH+cCCYX5M/Ox7ElGxIa/2hJC959KaQkFGkUf1byyuaVY+r5u0G4k
+k9TFbp5ntQa1asV5+Yj4pZeewPyfsFEP3bNvEgXbPVDEWWFslXiHFktH84lnBuGMlEwMFpEd4zZB
+OLo1crHTOOzpezVj/a6RzS8baIE7SkkauMhHHLYpH+oePWoINJe/B0nbccpNznNksCfIIXthZEPk
+eT561BXVJ0ygFeHo0V7MKN3XLs9SWwoXa3rUhuDoVXW5v8pLm9FSI9pHMgSoTcwXuV0lwgtub7u6
+g3eL8NeSXHkogaHo25ICzaJ33+VniaZuoRvUNCFqo4Wv8DSmgdnwbUMN1Jech90OLyZH1wq110XX
+g0x/P22XpZH0sAYymv96PMXxOpbGK0yyXD6I2alp5rzy8g7jzVbWV2y709mHtI73aRZRkS25RLVz
+QQA3c9k7U685nVHlGiQnxa1lSNDE+LYzMIRdApPctyPkDxx2dHBHxSzObYkZUvGIe+4HZQM4W7sx
+rJm7M/ooc9WbR45alOxwjrEyiztVhogWglwbfW/kbgEGE+nlbr6gMMUlbJQaKnKM8biBcqoaNRTG
+j3dspJyuE3XWKgT60JeAlNVETJpQTrPZDF9578dxGNoNw7p5KPG2QqgGf9HWtf4tNt481mUBjzFk
+af4UH3hQ8Kr01Gd4F+Mr6OJIBzePvokEg+AOSnRmRecv6oNX10FQacnZeYCeeN0BZ1+awkudSEoL
+LW3tlbqlC93KqxbmjIhTI+oxahpqEiWbrDOVD+H++mm4uP/c9P5pTk82sPEnCiHbjwTl6uq3Kd/W
+4ElmUe9rWL4zW0MsQk4r9yuLjDQ0U9KwW4XkqSM3d5W+Xq43nWVulwZNZ8jV2L/qteGiDVcfFw7X
+pNeyb5HNRv5iX1GRsYJg2o0hC5wUNZaHovmrlhgGNvxZ1tIsEtw8Qm95WzRNGXrkX1/SdZHn4cCU
+zN0mpM3htTXn//6o759j/fs0+UbSrBDoLPfc1OI/ocuJ4vbTOX7Tbv80uu8aeuxLHgBsb2ru84LL
+fEn+MxwSa9injxoaOp5eykuXgaL8dLqu946PZ7zpWcnqnjk1Cyk5Qplczgue01zu1i1HeRYSBn+r
+3ky44Qhy7h8R2lcT9gMeMlwufcKn7y8cm+6sEneJIsrkZrrJpby5rlRk9cFlK8MkuUuPJ4a2CCe0
+1gZW7myfxHfW8xSOgDPKKCAf+eymT2oEzsxl9aVdqtFbNhdG1E9dh1pCmFY4m12cI/nSyWL3KA7t
+HJBbmkRirsELpzRkcyEVHosc4Ud6J0kbxW+e6WkvuKBzPwt9UZMOuAgI0pGELElobicQHLPCVsV3
++/DZG3AIXdvvCXKt/TSBjKrpSI5vTNl8Qbfb9PxjFcD4X6qIzkj8Kbs7T4nPxefCVqyPaZU1WocR
++8pYzAdiuH5x0E1sSF1O3YL9Ru5AwhCdRb+PwCEEzrXjfmksUA3DMOLc8MPQuR9sETILuxENVuhr
+JjlXO4aBqJ9iPOgQNrkHSR8+4ho/2Ey+r1K8PPSFfqd1gf4xQrt0+503+ErOhPyXErqhKOQq9tuj
+tWnXNXNDHhO0ftK8MVtSVLKIitSKNwmT6EnT4f03PkFof5qFjHExEYig5mTNM5wCf9TR8ElNqC2Y
+SkxBhSywMytVDYUsQuFd39OOAE0JkSaQb0sPAhrbvJiiiHXXnPJmb13ZpUEO2m7hLEHLiu+VFE6t
+BAsMFVaIpd7kQ88SGy/89h2atRiKfKUyJVM2htB3fdb8AqI8gZgVxfzZfsBqRlEMIgSgxyDOCwzJ
+syt/ZtXP/irgb2XIX/y3hD3ahwHC0hngasKof9WTJGLxmzg7Ouj+zB3oUdiSe45bw2HGBsz2Q/ap
+93g8U6Q+Ox3nr0wI5ZxVKanTFHfJF3aQumzZgIsvNKU6cGllIu42JQOdln+QtAr5usjV3EOdrrmX
+vY97zNW1q+3WbKrfN+jh1CfBhggbh9EcpgFh7Q1dCIeoOzRtTw7AROFyE1vaDR3xHhmr3E+ZN0N2
+wCoiRK4tOm4vfVn0Yb2070NxM90btqiqRDUXiZafuJUy/JuoQ8beRGG5eTRYX0qRzYWxbnjnO5Bq
+6R76egUPvNwPeuz0A8/E3VWzdg9hms/RafVhphBlQtO7V2T+3qb5u1ZgAJDnlz1ToOuLR4xsDkUz
++ztFGmExBpv26weI7TV7rayLxXgzP3tGWhcYDL2J4Dl0P8+qvZFyGE1fzCGwUoRIZ3qKx1BeXg9k
+K8/5m9chR+dFDsG1Bluyo+ERSXYeoY808OBO6DYIEmpWfySIRk2/7IdiyeSvL+Cvlt/t7YgR+x3i
+5Hy8dq4+cZ0DTkhrsboUvT+A2sc/Qw8Nc9Zr12W5k3kzEut3lAmCTV7zTZGoslhDl8axbj0JeBy9
+y37uf0aIZtWLtonNaunTArvT8UzHCG23jbcMxgysV/jqa35A46Jcz+94+D9dOxk+j4yfFBtsrU2r
+f7WHhKBy/K7ZzG5QY2DCCbxkg9TQjYrmiemM68K87eaF/hlj0mNwuBNooCtVBJZqbnv/CJvu6JYe
+Nc7mSTqQj6QuNU1RYHFTvCZPyEDd48H2uImXdBQOnR4VeBIhOhV4KX7RwSoRpmje6RcqAbhYex5r
+Qt5GUSuKnT/43nPcPXMVlw4j95BZ9NoqtkzGI8jhpquBqdhGnlB+pvH60XQ2787U8NjLNggSYJ29
+uJcuAY/H3jnX/DIYONkh1ZOWgrVoJLAm5BeAklz91azcu9+vCR7m9RVfl+jhMaYikzjlDcGmD/eL
+4izX2GzCt3NnVZeu9rCfDb/02P+mKp2qfqmUUFjCSk5JTdC+tTJRE3nfDomqfmqvxcqTZ2qQ02Iq
+X0K+O0uXwIzax5kc6uYNXctq9hFaozN+uOY59LPtoISEOZp5q+H30pZl9Go/51wDEnvN4QA1cpET
+jsn9cH1WSThIb6hzl1DIGCWaXO8CirrpFPlVgt0BZ7Pz2F2jYIGmDyCfsrHrFRAmBZa7VIek2v1z
+0vNvynxwPOON0rt0KXMnBT7TwWIPXwB6gyafxiHLh4bYc5akdsBQnqPZguCRJ9119ArTZDhyeZvP
+FOsgwi++qMSPIvjMO3V6VfCkrMu6+BXKKzitfK1CPfuFL9pY5Q802IEGuBIsM7yHyoXlyy4XngyK
+g2Jb3uVIFRIqNVkHL7YbNj6D0Qit+GlOFIqknCrQGkwxXmwUnJws1s5ElwH0fVXApm+Yya+pYDLR
++B/+u1lkSjy7geho2wAcmI0R0DC5ehNRowgqTHfDVYbdMIFPIYcrsEaeBXHS1qhSkEFr4NgjYVAR
+xNYIiaQMir1GScXDN9Jm1BT9SorH/vqSr/ILxUoBJrhF8tsKAB2Jjrb61RLSf5LOxiCpWTs4Fjx+
+LX96mWfra0J7fYX2tIL8lVc+ecjqRARuFvHcEWqP9btZIdjjxrZJS6paWi6/11kLvtut2tu5b8Cm
+RJN+9JKlzQ3cnwRQxr3xMerpGLHwwNJSO3/xfUMtcyT+bc856DJSmG5xQUQ5Ve2GTKiO1cx7KmlI
+I/eFLyBSP4S4BsunLqpbB4kYK3+QsK7fpYS7uc+SEDD3ohDIdxmsJHFR8xvcYemyJf8ZbW5WloHy
+EJv0dXhuAXxz62esCrVBWb3PpVHClZalU5YTiFm78xfF5ZhW3x/y/7qYOG4bik6V84YwQ4pXAQGg
+1OBx2NY8VhQCoCWtP/8z9xcpIfskgCLPp9qnaAm1sZuJPVoyRMwDYIxjxmbMxaxI8Oetdo9Y8YFr
+mksueDO6ottPodXxHbtT4tK8SzPImVlNsQ84QJ1ZoatOnrAA/AWxzG2P/3uO+ghklr9BBTqzXq60
+az/K4TZa7Tn7XDZlyXz7RMSL638jY1kJJrprzFigcLdOoF5/+XDG1woaIGEjcRtvKX/auLP5xIsC
+HktwnlYb7/oPGzW3BDyzD1JATxE2NqQ4SqRBfzws9+cIMuZm9zUdidceYjTRjC3z4+hSD48X4LNC
+XXHjw/+Q+Td3+Y+HErz6bbxV21449BSSAOGAC0lnWuKM0qY3vyEGxwAYuUGpUu0yrwFH0l727fp8
+R6sUj2UYu9pVQltepQVpTMhnE2Ij6QQjuTpBJGDnmj5LXgYdLW5Nphbg25J5k3X2BWhbWnACImKm
+9MapsOL8QzBrXEldcVbiw7veR5taISofRyJiHqULBqrCpTrflgqM9Fe0R3nTFdocO6kscrz7sTJk
+hIEWSNPEIWoGSPMv1j7nYmNcW57kTXGEcLt/g59B2yKKo3EIhWXTCJJ6+GuiF4+lduBr1wEWdf8U
+5YAOEAmE/rMaeCTyr1l3Qu0vtfM15SoM1sQyx1/WpqscH8eZ4bk7AM98InH6gbr2StMzfFGeRbpa
+lJcOKOajB/gOlL8fCdfw58KVLybOeNdwKnWjrxXNd+QywdZOJt3e5z83/YNO7wAbYg+mlO2ZqF52
+Kdtxo22d9V3nusLqxmFYKA46xLsFVkUAWfkHhASWW/1fUvafJBAvqx7jLZKbnc2pvFmTlFCTiOiK
+e1H3MavY6o0IMa4cbGmjaZE/1giHmD3/s8EHOBYYpaTNUQfMDuoll+RgEpBSexjZ6nUObAh+0Evm
+vXaDPO6e64MO7/iuiHxwZB5kqT5QK/RwMq+zLeSJnydj/NOMsrsnsuxBR7g9cIw3MWi3eK3aY4co
+U0KirOcj9g3Vw3suN8Ew9EtYGJRNzBUAyUjX3oNnWPIWOKY7uZLhfZseAihK4uCKNiWnkG61/CKs
+IaoVvv8IfwlAbr3D7Siy+9cSg5LGSuhzAgyzPtlgKm6ymneviOhWGCIJTMrnw6N+VUB7vbpDOt+I
+URSZ2AhFfuyQL5zoFoBffywWSkFivyx4Fmk8kxnmQB2QEoC/yNDN92eh9p1qF7LCry6REIFKHWI1
+hjv8tIbLFTy7VGqkhxJWgpNrQPBz2pbzRWdA/dD3AHdwag6aatJ9hn4cbSSwLh6IfPiUsgtVd+ce
+u7NEmkt5UBgqxzbhr6TrbizcRgdusf5RU+cseycb+7T/RJxjC0mDQXld+eHvpw/jTYTWInWpU72v
+EsAPCWQil0p3gmqNjH3JnRJMie4VHz5GucOUJvQjFUtQyoE1iIuT8eGTx7vX4XSkrQHlN7jQa16/
+hGwz7+iW+vrQkvyX5EMEV/K9XS4qNZsXMjaRscMx6Q6bm0VOo5f/+9RWCtIIQut+LCA3QMuS+Zk7
+EmKlvfwixhEYvm84A8jmHbDOTxaF/MQfiNIb1GI/ygYyz0AS5oXk5/iu/pTqxGx2evomFclHUNjC
+MstnE+EH4CMWMEyDCK1Q5ilUUwKzS0oMY/p9Q091IoEbMHcx3DnJbnhKZdaNUgVIyvO/ISZ8yptx
+5A8+1PqQlBQdHa3gEN0iTz+c4dyb5ic4FM3vIpGDiG77PU4n4ZIPSmyKuPYXrvtaRSf39qQwtnAW
+MRh/WlEdY+MD1xjzS4fKcUwzUVjkXrfVkZ1Cd9dGujW6xTWvkJxWHR54WanHvr4hTo4A4IhaA01i
+oMtkg9Vqp3Z4f8sZ/UfXZoROo81VSOfDVepYVwEyp2GO/dU6thzzFHnvOlpmuMk7NaQJX9Q7Ahhp
+rjQ0mkbqVSG9vcNJ9Se8wfT0YT+8wClXuJxg4XCvLIRRicgZkvdHSUvLUGanw6DEVhA75u8hdkN6
+wDG99+wa+2ioV3KXkc/LTJByDYs6O6P0qWj+4te45eKNTq+seIQyqGleV676j51dbtWgpiS6z+oN
+o2VUx/5YAfjwf0a5gOeF46CelogVk3CQK/icBkkCow7J26JEC1uWcLWcOZ/VB9g7KW84lkvo81/Z
+dyvlB9qWxQFxQ6rZMm0qWW4mRCESJcPZWZ6FHO8/p9VsIymGkUvEmDOrnI7V+okKVxnIO38ssphJ
+8XKbpMAySAcnbpyUVIGytGKKXOXVFB/EOauG8Yodc3w1I5EIClDKq5u386Dpgy03UOD2jYr+V1QX
+lvbZsCRved+xwwQOZOIu4bxeaBard28cIrxfj45tsFzeM0ulfpK7wVQahugvziRn1WCsUMxORgjm
+BWRXEnx5CVf6cVIjBzZ7p4ID+SHbVx1WrGFg5960CUlbUuKwpcMBV+W2YSWwwJ9Zs2ltwm1tbDdJ
+9n7Bg0sT5IUgYAkbcaDv4FsWlGPrMaCUIAD/9Z6mIWtfb/RIm7oYNx4qoEN2k99bphED19YBRV9A
+zJWRAELvQjb9rM06CHMc8f6KYFcve6Xr+tcVy7VeMbWY2xqeMejxmswADD1SEPLPeYZyKb73SOMi
+VPexadp/frAyYlrkrY6fCKx3g1hucrpWxO6uNq3eZSwnGs6NICIfoRUkiGXomn5BUMsOwtM9MLlS
+W+wAz9XKIFemtmsFZyJ8BPUo2BlsAd6z1V8rbykFPyUrFYAK6+BG3geHnHCJOPFx5ME/daK7QccX
+gB1eQau0HQCS3fF6+xwLF7Ya41ZHmBw71juaq8EWqZdt32SMZ+81mLsdLZ1nfzOhfYZsLqrtFJFB
+cgkewdnSDpd3RTXoTx8K9211QQnRt5YbeBt2Rxy5V0g1orsMM6Mi51vlyGFJy8wsGPC1hxFMlbEZ
+ARDyTJRBxnaKfxNcI5jecAlnz4TC+CTtK2zI/HgCRWBsprjyTbOoR2p+fIHz7j0+vyZIGww9o99K
+PbH7K9g4h1IiyKty2rX9/y6YY3pZZF5lNuLY49CGHwP9ata5IGp9oOHud54D+E08oU8LIR9MzV4B
+B+f+5j6Ib1Otb7q+qZmxoknkVRhTKyOUcMwRBnZU9RWtXlGtVzzI76T083Dd2QcWuHY0W3OCLqlo
+TEyiWKLI6IZ3It7sTT0hlfiN6dsnuAPVYULb7ULJ4ylKveqgRPcuaw9k9ChuVnouKk5pOH+TXgTG
+tLnbuInoVvHMEFSF/DIIntrf8K+/QMLU3nCt8bE465pucwlQru6sBd860raHvwzE0wXIv/qyKnzf
+bsH5HD94PiP05wkViOc3sG8D4FJ7hYbdHpdclTT7wXOuLZg+N+OXM+RPhKH4PeFv6HvkZBhBGb1w
+TmXJbQqjHhaxkXR3sycm23ADhnq9imQqMgMlbBC3xAewA4Yreyf4z/VORokzzKtt5LV/Qey2QQ87
+qwssm9N+gNLhVdf7QORECglftbY43vHBZG/uaHHM3V2FR87UxDtoVvwLckL+HaOcI3AjIjuUoVmd
+w0RQldK0T6qUf3UilGD7oBl32sgK7cOZumxOViaYD/tSE+eLp1PCQON1cWkrBzQd1MxOT0j015O6
+EsG20/NpiXWB5iSKtuGDXZ22cVyhnjxOVL1fp4x1XlWgb7m0GTKyLSsdgATa5fcDMPfiKRPMgOLx
+WD0Fy/pq5JBsVaB5RWT1bVLNpE6EEPshb55MDnI0zat/8OSH229HCIwQP5uIyPIyAHiYAH8FqsxF
+D+Af/1D6DABfew0I0cCQn1lsePoCMxtEBkk38bnPwE5u997owctX2WtFSTbO1SODSlRzplJ8T47B
+IWzjt5FRmFBpi5/krrj5t1WECM6ujMbF0rHMLVU9X2q6fO/SHl0xkfq0sRRj9Ibpqc606FNJnF0a
+yjabwnciV/sm+NCBSPqW4IkmXg+mMqIrfzB+JDAg4TWRevofrTnNWccsvHE6aW2U3kS16x1hSA0l
+fNDLzPSz7OMXmbs4WkPjbC/PlzVuk9H92jm5n6efm+sUVm+s4KMTfx01x0Zk22S3WuGwkJQ1S6nq
+jQ7i/EA3WEzrroJT44cLaFh3p8sis5GoWkgLMavMUxQk3MC5tc+v6HDudS5yLgLwe6srMR0MbwmT
+4s3jw/ZiuTGOg/DiH1p0QpJ8e/35hxm1UgMja7iCbbWMzGeJ2YATacMKiie/kjhldy8llGkKXzKy
+JfPD02b5xv1DkpXHO73+f0Nh54rlXedXT4LsDnhd4fzfheOitlZ2jKo0CQwGLSi/29+cBpDBtplD
+EozSphoccZfcVPnQM+KgrN3WHCVBvgxgOPaIbNUpbtAwyI1++N6b6lGidemTaGj0TBRt8jFP8wS7
+0Fb3Pt1VoXOk1ZbILnzWn40hazjakw7LT7//lm3JVWCzFDv9Esery7JsyUGfWwSWrGZdkLRerOBd
+RpwqBDb8Ie7deq3sLtgvbEhYpzwg1bdXaWEJkZLlDUy26G3Z4nAzomb0zn3QFPBi3DGhTqdR/iME
+gPmdcRhnSVitnnR00sgHIf47znzXMN3hBD6J62wD+gMCtIto2ObtlLNvNV+tkjR4skJ9XBv6/n8y
+5LDg0iW1np3+7200jHK+O0TMv9T8+mN2lGxHIaO077Q8iRwe54TG+taayC8vM2L9erAESjNYI64v
+29QZ1SA69T+DS/dL8hfSsEptQ0j+zCEp6XXqQrJcFejEaTEIho1+b3eFk6R6+7GRX33Xc6d7yjXr
+eBHq7wlVPiG4J4j5LUO6Xb7krym2gEJ7yfoob2TZj4lFve098ri3LeHNDkOnO522OiQ4I59Dgb47
+BZbQuG1S7akDLkyKL3m6IgdBeYwTB4V1PVDUxKkxDvx+FAGTjwvWpncm1mCdUcCFsfHDb2f3OaX2
+n5zAGoO3dajbMCTfXpgTeSNuz4N27kr9bV7n7VXtpLFyYF9iM1NETYqTZ0tBME1MvBLmyr2kzFBh
+Xx/KboRL9SvYpgRgopCrevIvi87xd+gIUpbTHfyiDVQaHzzHvKDk7Vl7VCZppgnuhwmaOaBTHwZ3
+l6PHvz8nn9LGKIFxmrpPaCI90r3O8CxXGBYeQRDoylCbC45mhSc/9Uh1W4qtDYyD8bngwdOxApMa
+/i2nCWGnjPko0DKBkb842hLeD7tCwFZrIyC7qWoCNBYysM2arcMVug3M9D/xmXX8YU0EzZnHjwzF
+DKqlYXMc+5UzmaFBWe2sTJZ6P4XqXHn2jbe3eWw/sFl/yqbrNbrWGRGZd6fn0MHRbDsBKU+2XpUz
+PT0c4ahONXtIbCkNArEyt9aHGx3D4MQPXY1BlyZwPihQEwvwbR30q6EyTkk7qYiuRw1TMw0MBhnV
+1ODaNm1+tfhi42TXg1EQn58vJWPMqV3OWOzlQ2Zis6mThcrXa6KsnjrLq582aA9XoF0z77/mTcWB
+2A2AAytBle2gLjrbGbQ5f21Fq6rhKrL4HTgqNLBl3SF1gzobxnbyWUON9+Da2542DJm8FYxwcTTX
+5S7CGiEDK8y7WhxOV8mQfZHgLQIydKcEiJ5mkHymF7hj2RgKPkcz92ktMLJlGxiW48iIiyQbsFMT
+FfABmTfSUMcPqhMW6PNogrnkmvFePAzKAzd/SnrRvj77ZC+DCnKw7NsDOwAmsoCcGbVV3LvLt02c
+PqFTjCSoIKI9DuAel6LuNFS+0aTK+SCN2BrbBMbxI41oKc3gVu5KAs9AOiz3eHscKKyG+H7GIWLl
+mPeZouz8jPZ3VKIrRkaJHqz0eUlOza9R7UbgV9pFtiwSVFfvFA+7aAJQS8Pys0y8a79OMoDVfNoo
+sZSshZ6uQ9g+XkSUdRNbPYnboeeu30Eb/gc5aGPUkEi97/8EmTvhqMMT2c+D6O7ynLaUNOjDWsJE
+Vl4HYaGY/Pp6BVRde7ja26C9d59nesp3p7koTooeQXpIMwITKM1AzJwaryQ+P4kaBJlo33Rjpqwk
+u9D/EdouhBiS0QuiH9SkyLBib3oPwibgWS8UfQJ1GZODM1rSQtBnMi2r8l0QQCMe0Kfw+rWOuPxN
+L5GsYXasKalTwGtxEJbQvlzNUCW0QfzYop3TWWS+7yGzVgu/4AcCgdQJ2lu4DiudCGa0ce59z0aA
+G0UbIFn/tieUx1BzAESLOxlGH2qaIUfh9p0jNmw/cMmnN3MxEtv9Cb2liVGgOqhGZK4WaMl2FWOt
+1a3FAqMbcgJT/d2ld3cCGrI5J8CtGFcc/zA0epx/FGC0he/xDBHUUzxGMuKuGUX+N22cTxDeIOhG
+cF/wAaGl5d6tYVhlF6slRYLmYVWJ1vgq4dwuyPUcOn+hIVTarcS8joUDbpGdyeDttYBsUpCGuz9q
+RpQdYJND50k/qMxQyE7hPmNXGPtslkL7na7j9sJJPMeYwTbFGLAwGatOR+4lKaTsL1D5OJN74RiD
+rEJiVdxS4vyBjmkoq9ULB+Oq53B39Iqf4CQqxTED6p8RP8l5H/H6QiCnDvVQfBKf3XHV+tsHKSU3
+8ohBRJkqMD6NGFBv5h8cXxnzQccdMQosk+t+FPucGRgbL4nqP+gdSa725sp0sn2bgtrnlpNZ61YF
+eq0Kh0xFp9b57h65DC7ncbwZckXj1jbWoXc5x7UntrvBiinROVvryUeqfNggGkRd85ZcaR1IpKjv
+hC0kBvNtoLxZJacy5Yc0T24cga0RJk8rciMG7Spz5fBFZfFJSQUuuLZTQdlZypmCo1EmOgg0/YLj
+e6/ZkiBtC/emC5LG10+KBgdLWpyWOSvE7hMTqM/TFpwU37L2EHRkJP6p/0gClFF1p8M6hEGdFHzI
+oR7khF9avBlKEthOBGCN1LflQ+12N+DfjzMspWYCvxjYVLGY5jzSDPHpaWOOFW3MZaJJYZjoG8Je
+LK1vLAkAUU1K4+XAgQnm7DDjydQ73sffV14VMAnrmoHphQhWyYRPuaDMXf9sRgyDJs2KeY5fhVQP
+kmTz6GEWFepjDfqsZSms71zwmNIQyDT9Rms29En4X7eystKp1ViZXwHPZsCbwUAQZqmk8Y0VJdJS
+58GR1ppSPbaILC4xfGs7Jklo2UH+AxW3/zvCoxtp4iVy7KhTmTagEwDdDaJtiaHHNSXs4FdfsIGp
+36DqQVMjkvOj2lu+vBKeo44cXwsjiA0CkrK4k9lTlH/XnXQs05lEEOadNofdR9qaBrpwVaAk4Yug
+I8ys5Evb8egiOZOMB32PPNrMOUPRJoIny2iG7AStsGX+k+hzhQVVuECHohpmBcDqyoSsl4gdckdf
+NyJc89TdsEivzci1i09Ao+NLV3f9LZcn1JWa0UbZXrKd1mCr2lXPdEkPL8qhus+ug8sRpw+Gdt5e
+dKViFTxTxnZO13xsS473rn5owjCnTOzs25qWCxL8fLB4frOxTZNQfW6vRVwUkEInCvRhXrx/jSYd
+0MJ21jSZRVdcGGn5igv55q+0jK0DNZbEB0EEsTohvO9aZeJ56gWKKlb99o2TfNWCjuY4rcXMOxGX
+/gZPRwsW4AZjm9RwkHA5hJpIFycfF5VGr/y0Ug2xr5+szsXejtKhDlKiGwV3GppkjhfA+LF7C/iu
+zxl7921yd8Z8mhCYXGqsxx/u6vi+3oIemETunkgaT+vKshpSWxgiUURO1DgItne2Jo9ECKOP77St
+DxCgo9StDmCf3Rxje+0YuWluAaWny6mJ29TBfVDVgZds5uAx5Vv5f22G7cpnqrbvT9dcruuntMsT
+PxfpegiTU5Azp666nEY4f3RVIlfEF20ZfPt1JgEe6WxV3k9V1kpakpVqfhdjRDq796UbAK9Z+/29
+UBppPrKxYcG0T1hpzd/YIw2j+Hcjd4NjRhqskICnCCwqP6Wn5AmsQNoDRlPkrfrkA2+AULMUuB/c
+1trsDLdcKrdR6Aq6dJJNDBwWftEECOFgb3EpEawbP5WFlbNYPcBEZrj7CWzZHTc5Wov6PufJJcRD
+ESPSiCRssMdNxE/amctWBw9qi/cqnkRBddUTH0659GEyAoQqyHtB27F19WmQ/GyuWiQ81+e80+xW
+wo3977LUHrgsbwp2Mk4xA6ootmWRx0CqPzg7ZXmo1qavAmuqwBXG5sWPVR3v3MwKB1juJk/yHE+i
+g8jmwPV1PS9++yK1Dn7tARmtApvFKg8Dh9e1QXocEVosqTqIHhXI7A6hyhgXQMVH/q5d0TDhIeff
+axs+fjCAdtkFYkc93AYeSZwsXQgBVaAuJybKXY5BlBBTY+iRbpe/lik6SbZLuWx8VaqSFPO/dUe5
+k7vxNMpnjI+4FCQSFupuRxHyzyHih/1LsSbv373FGaM7r8elPtVasVURDCMyBO6s+IJwrq37m3lN
+n4dP2VuLIzWuPsOq1v0BjVhm/vm+6Bs2D8ErxdAuxq/7CucUgGquMLLRl2DcJUQaMSYeMmkuiOp8
+U+lqiad2o9nTIP/jz+vrWY7XGI7wzYmdL0h23mq7gxckbGdjqp/k6Fea01/CqXml84zjdhfWTR0u
+1MnWdh71J6Knu5GuHFHbjgOd4tXd7j8IEJrEFGerWsPR6nMbJRIl03xtOcDwML+BcVtU1rGK65r6
+rbWx0M8YzdbnmM+t9M6ShkFK97ney0yOm3CQIMm6DB5a4RCtcCskhJP+a3EAcuLd5mw7ICazze+b
+bFD3nuXOQoOb8aCUsn0CaJ4k5zZEJYn1eW8QPld1yEgHZ6469OqgyEmkCTqeZ93zl9vMxz0pyBUV
+2KRwWzCnStal42P588TSo+j4XrAox2a7svhdFnXpUZEzSW2Jydt2hxe26iMHFhGDCiXeP4bLQ7Y0
+c7yCKFqvA7pBJI18M224xQeVt8nGAZJnmigkE2sM16ZsuHEX0ALm9YXloyoA1Jfykie1ROXF1Xc2
+rg0x5o+yph4g671jkvkQFGaLOIyPm7Y1CRDOboXS0TXgEqQLKfoDoG+TnrJcb8FePhU8xfuVbqNZ
+00shkSIyn2JXIKHkKE1D8L3imO8GZYBd/fVZ1CNJkMPrz65o6W8wMgofiXNfCJ7GxHRajzJT/9jj
+Y/dICXrX/YNgL4sMnFBmMBdkzJY8xlvceMroJZCpr9AI67oGRArPJvCFxlLUA8lVuGuKUTLkjqHQ
+/d6ypEvsAlVUcF/OQUJEnTde+KCZNUmlx3iB+lYmi/k9aZXblaB86s8g8tIzqHn/Hm/NVJpxORYc
+Lk3sgnFOM38KyYj3WfQMOTVZfMI8cjYY4sGSyU2UpizGnn4X4iEOC4x3NoMprHt50c4iyrw997U9
+gzgj1ycsYN+euksbzZ2P0lUw0TqgrM7KScM5ccI9evKBOwT8bnmdlOcSiM0bH6hhDfUl3b718IfR
+8/sT7L9FuTxCykLFwKeUwkFsdLbUKQfbfRDSAqmsWEb4y6fyIEQrVzwZZ5UKibtYqqzL7hEm6+fi
+HdpLnNjzpn3V8TNhDjBTEtL7Q9aQOcJWQl7Y4MHXkxp3fHxsWjxc7r6Wr/9NizuvS5CHWyse70O9
+qe88jsJUXx+CybDsM5RJXg44gnRCz8NfpeVd0i046jW86qtjHzQl8VPKjagnQkgU6HxHkAzVSdnI
+zwN9dhTATVlLIly7s7HLXAC+AITV1Of06KsBn5nav48GrIPBkiUa8i3ZPCLOLoUBacBHjf8xcawg
+rl5KK10C3XFhtQqic8UGqNforhfjr4bY1PAfSqx8IB0ZKvCi5aGcNaO+o2l4kz10PgL6MvgQu/Sx
+EQjh+2WC+8ebIUpl//E2WUP/zuObq8B5KRQlgdT/33SAwQWfrrzsqqSh3gVWyz3T1HcgjInTPGN1
+BmPPMamYuoX/MmIoDmJ/oYOyVXUnCoQxsJlzH0ekXp/t5fwUTahZovOcPsLopT+8OrnvUbJB0O/1
+l5Cp/qVmHJEZGEbd4NszwZTC5wrwJc+kjGXo35kKGX3iHHvH1JvUtsIxjClqA4sCWt8zZGWyZ/+h
+HTPeuJuBLDF1Hk0NdKxvzgHQOuHoKnY3ioCTGJyWSvIAC2rFa/QYO6lbcL4CpqnvQ41bV7ThVJLY
+wfaokf8njk5jechn2DyD3U/FYOj2BCIyYJ4wpz3iBxSffb0BQZVHaIpyqBatb7aX3LOLgLJEez3b
+/BLtdH2v03XPDJnTsf1T8atygeNPrwCHldl19QvrksyiX4XCf8e0BR5Qam6aWyTDij31rhfMRdNw
+NdP2g7SoZ74wy3We+xfgpK+H9V+92MvsSioe6CksXSF2FdFb96nWCBg7ds140QP8DA1vcu0X
 `pragma protect end_protected
 module FifoTfe6Bytes (
   Data,

+ 483 - 92
src/src/WrapFifoChain/FifoTfe6Bytes/FifoTfe6Bytes.vo

@@ -2,7 +2,7 @@
 //All rights reserved.
 //File Title: Post-PnR Simulation Model file
 //Tool Version: V1.9.9.03 (64-bit)
-//Created Time: Mon Nov 25 11:45:24 2024
+//Created Time: Fri Dec 27 14:45:08 2024
 
 `timescale 100 ps/100 ps
 module FifoTfe6Bytes(
@@ -36,34 +36,50 @@ wire Reset;
 wire VCC;
 wire WrClk;
 wire WrEn;
-wire \fifo_inst/n20_5 ;
-wire \fifo_inst/n26_4 ;
-wire \fifo_inst/n377_4 ;
-wire \fifo_inst/rempty_val ;
-wire \fifo_inst/wfull_val_7 ;
+wire \fifo_inst/n24_5 ;
+wire \fifo_inst/n30_4 ;
+wire \fifo_inst/wfull_val ;
 wire \fifo_inst/wfull_val1 ;
 wire \fifo_inst/wfull_val1_0 ;
 wire \fifo_inst/Full_1 ;
 wire \fifo_inst/Equal.wbinnext_0_7 ;
-wire \fifo_inst/rempty_val_8 ;
+wire \fifo_inst/Equal.rgraynext_2_4 ;
+wire \fifo_inst/Equal.rgraynext_3_4 ;
+wire \fifo_inst/Equal.wgraynext_1_4 ;
+wire \fifo_inst/Equal.wgraynext_1_5 ;
+wire \fifo_inst/wfull_val_4 ;
+wire \fifo_inst/wfull_val_5 ;
+wire \fifo_inst/wfull_val_6 ;
+wire \fifo_inst/n529_6 ;
+wire \fifo_inst/rempty_val ;
 wire \fifo_inst/wfull_val1_2 ;
 wire \fifo_inst/wfull_val1_3 ;
 wire \fifo_inst/Full_1_2 ;
 wire \fifo_inst/Full_2 ;
+wire \fifo_inst/n228_1_SUM ;
+wire \fifo_inst/n228_3 ;
+wire \fifo_inst/n229_1_SUM ;
+wire \fifo_inst/n229_3 ;
+wire \fifo_inst/n230_1_SUM ;
+wire \fifo_inst/n230_3 ;
+wire \fifo_inst/n231_1_SUM ;
+wire \fifo_inst/n231_3 ;
+wire \fifo_inst/n232_1_SUM ;
+wire \fifo_inst/n232_3 ;
 wire \fifo_inst/n4_6 ;
 wire \fifo_inst/n9_6 ;
-wire [0:0] \fifo_inst/Equal.rgraynext ;
-wire [0:0] \fifo_inst/Equal.wgraynext ;
-wire [1:0] \fifo_inst/rbin_num_next ;
-wire [1:1] \fifo_inst/Equal.wbinnext ;
+wire [4:0] \fifo_inst/Equal.rgraynext ;
+wire [4:0] \fifo_inst/Equal.wgraynext ;
+wire [5:0] \fifo_inst/rbin_num_next ;
+wire [5:1] \fifo_inst/Equal.wbinnext ;
 wire [1:0] \fifo_inst/reset_r ;
 wire [1:0] \fifo_inst/reset_w ;
-wire [1:0] \fifo_inst/rbin_num ;
-wire [1:0] \fifo_inst/Equal.rq1_wptr ;
-wire [1:0] \fifo_inst/Equal.rq2_wptr ;
-wire [0:0] \fifo_inst/rptr ;
-wire [1:0] \fifo_inst/wptr ;
-wire [0:0] \fifo_inst/Equal.wbin ;
+wire [5:0] \fifo_inst/rbin_num ;
+wire [5:0] \fifo_inst/Equal.rq1_wptr ;
+wire [5:0] \fifo_inst/Equal.rq2_wptr ;
+wire [4:0] \fifo_inst/rptr ;
+wire [5:0] \fifo_inst/wptr ;
+wire [4:0] \fifo_inst/Equal.wbin ;
 wire [31:16] \fifo_inst/DO ;
 VCC VCC_cZ (
   .V(VCC)
@@ -74,57 +90,78 @@ GND GND_cZ (
 GSR GSR (
 	.GSRI(VCC)
 );
-LUT4 \fifo_inst/n20_s1  (
+LUT4 \fifo_inst/n24_s1  (
 	.I0(\fifo_inst/Full_2 ),
 	.I1(\fifo_inst/Full_1_2 ),
 	.I2(\fifo_inst/Full_1 ),
 	.I3(WrEn),
-	.F(\fifo_inst/n20_5 )
+	.F(\fifo_inst/n24_5 )
 );
-defparam \fifo_inst/n20_s1 .INIT=16'h5300;
-LUT3 \fifo_inst/n26_s1  (
+defparam \fifo_inst/n24_s1 .INIT=16'h5300;
+LUT3 \fifo_inst/n30_s1  (
 	.I0(RdEn),
 	.I1(Empty),
 	.I2(\fifo_inst/rempty_val ),
-	.F(\fifo_inst/n26_4 )
-);
-defparam \fifo_inst/n26_s1 .INIT=8'h0E;
-LUT3 \fifo_inst/Equal.rgraynext_0_s0  (
-	.I0(\fifo_inst/rbin_num [0]),
-	.I1(\fifo_inst/rbin_num_next [0]),
-	.I2(\fifo_inst/rbin_num [1]),
-	.F(\fifo_inst/Equal.rgraynext [0])
-);
-defparam \fifo_inst/Equal.rgraynext_0_s0 .INIT=8'h1E;
+	.F(\fifo_inst/n30_4 )
+);
+defparam \fifo_inst/n30_s1 .INIT=8'h0E;
+LUT3 \fifo_inst/Equal.rgraynext_2_s0  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.F(\fifo_inst/Equal.rgraynext [2])
+);
+defparam \fifo_inst/Equal.rgraynext_2_s0 .INIT=8'h1E;
+LUT4 \fifo_inst/Equal.rgraynext_4_s0  (
+	.I0(\fifo_inst/Equal.rgraynext_3_4 ),
+	.I1(\fifo_inst/rbin_num [3]),
+	.I2(\fifo_inst/rbin_num [4]),
+	.I3(\fifo_inst/rbin_num [5]),
+	.F(\fifo_inst/Equal.rgraynext [4])
+);
+defparam \fifo_inst/Equal.rgraynext_4_s0 .INIT=16'h07F8;
 LUT3 \fifo_inst/Equal.wgraynext_0_s0  (
-	.I0(\fifo_inst/Equal.wbin [0]),
-	.I1(\fifo_inst/n20_5 ),
-	.I2(\fifo_inst/wptr [1]),
+	.I0(\fifo_inst/n24_5 ),
+	.I1(\fifo_inst/Equal.wbin [0]),
+	.I2(\fifo_inst/Equal.wbin [1]),
 	.F(\fifo_inst/Equal.wgraynext [0])
 );
 defparam \fifo_inst/Equal.wgraynext_0_s0 .INIT=8'h1E;
-LUT2 \fifo_inst/n377_s1  (
-	.I0(\fifo_inst/reset_w [1]),
-	.I1(\fifo_inst/wfull_val_7 ),
-	.F(\fifo_inst/n377_4 )
-);
-defparam \fifo_inst/n377_s1 .INIT=4'h4;
-LUT4 \fifo_inst/rempty_val_s3  (
-	.I0(\fifo_inst/Equal.rq2_wptr [1]),
-	.I1(\fifo_inst/rempty_val_8 ),
-	.I2(\fifo_inst/Equal.rq2_wptr [0]),
-	.I3(\fifo_inst/rbin_num_next [0]),
-	.F(\fifo_inst/rempty_val )
-);
-defparam \fifo_inst/rempty_val_s3 .INIT=16'h4221;
-LUT4 \fifo_inst/wfull_val_s3  (
-	.I0(\fifo_inst/wptr [0]),
-	.I1(\fifo_inst/wptr [1]),
-	.I2(\fifo_inst/rbin_num [1]),
-	.I3(\fifo_inst/rptr [0]),
-	.F(\fifo_inst/wfull_val_7 )
-);
-defparam \fifo_inst/wfull_val_s3 .INIT=16'h1428;
+LUT3 \fifo_inst/Equal.wgraynext_1_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wgraynext_1_5 ),
+	.I2(\fifo_inst/Equal.wbinnext [1]),
+	.F(\fifo_inst/Equal.wgraynext [1])
+);
+defparam \fifo_inst/Equal.wgraynext_1_s0 .INIT=8'hE1;
+LUT2 \fifo_inst/Equal.wgraynext_2_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_5 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.F(\fifo_inst/Equal.wgraynext [2])
+);
+defparam \fifo_inst/Equal.wgraynext_2_s0 .INIT=4'h9;
+LUT3 \fifo_inst/Equal.wgraynext_3_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.F(\fifo_inst/Equal.wgraynext [3])
+);
+defparam \fifo_inst/Equal.wgraynext_3_s0 .INIT=8'h1E;
+LUT4 \fifo_inst/Equal.wgraynext_4_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.I3(\fifo_inst/wptr [5]),
+	.F(\fifo_inst/Equal.wgraynext [4])
+);
+defparam \fifo_inst/Equal.wgraynext_4_s0 .INIT=16'h07F8;
+LUT3 \fifo_inst/wfull_val_s0  (
+	.I0(\fifo_inst/wfull_val_4 ),
+	.I1(\fifo_inst/wfull_val_5 ),
+	.I2(\fifo_inst/wfull_val_6 ),
+	.F(\fifo_inst/wfull_val )
+);
+defparam \fifo_inst/wfull_val_s0 .INIT=8'h80;
 LUT3 \fifo_inst/wfull_val1_s9  (
 	.I0(\fifo_inst/wfull_val1_3 ),
 	.I1(\fifo_inst/wfull_val1_2 ),
@@ -133,8 +170,8 @@ LUT3 \fifo_inst/wfull_val1_s9  (
 );
 defparam \fifo_inst/wfull_val1_s9 .INIT=8'hAC;
 LUT3 \fifo_inst/wfull_val1_s10  (
-	.I0(\fifo_inst/wfull_val_7 ),
-	.I1(\fifo_inst/wfull_val1_0 ),
+	.I0(\fifo_inst/wfull_val1_0 ),
+	.I1(\fifo_inst/wfull_val ),
 	.I2(\fifo_inst/reset_w [1]),
 	.F(\fifo_inst/wfull_val1_0 )
 );
@@ -147,8 +184,8 @@ LUT3 \fifo_inst/Full_d_s  (
 );
 defparam \fifo_inst/Full_d_s .INIT=8'hAC;
 LUT3 \fifo_inst/Full_s8  (
-	.I0(\fifo_inst/wfull_val_7 ),
-	.I1(\fifo_inst/Full_1 ),
+	.I0(\fifo_inst/Full_1 ),
+	.I1(\fifo_inst/wfull_val ),
 	.I2(\fifo_inst/reset_w [1]),
 	.F(\fifo_inst/Full_1 )
 );
@@ -160,34 +197,175 @@ LUT3 \fifo_inst/rbin_num_next_0_s5  (
 	.F(\fifo_inst/rbin_num_next [0])
 );
 defparam \fifo_inst/rbin_num_next_0_s5 .INIT=8'hB4;
-LUT3 \fifo_inst/rbin_num_next_1_s2  (
-	.I0(\fifo_inst/rbin_num_next [0]),
-	.I1(\fifo_inst/rbin_num [0]),
-	.I2(\fifo_inst/rbin_num [1]),
+LUT4 \fifo_inst/rbin_num_next_1_s5  (
+	.I0(Empty),
+	.I1(RdEn),
+	.I2(\fifo_inst/rbin_num [0]),
+	.I3(\fifo_inst/rbin_num [1]),
 	.F(\fifo_inst/rbin_num_next [1])
 );
-defparam \fifo_inst/rbin_num_next_1_s2 .INIT=8'hB4;
+defparam \fifo_inst/rbin_num_next_1_s5 .INIT=16'hBF40;
+LUT2 \fifo_inst/rbin_num_next_2_s5  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.F(\fifo_inst/rbin_num_next [2])
+);
+defparam \fifo_inst/rbin_num_next_2_s5 .INIT=4'h6;
+LUT4 \fifo_inst/rbin_num_next_5_s2  (
+	.I0(\fifo_inst/Equal.rgraynext_3_4 ),
+	.I1(\fifo_inst/rbin_num [3]),
+	.I2(\fifo_inst/rbin_num [4]),
+	.I3(\fifo_inst/rbin_num [5]),
+	.F(\fifo_inst/rbin_num_next [5])
+);
+defparam \fifo_inst/rbin_num_next_5_s2 .INIT=16'h7F80;
 LUT2 \fifo_inst/Equal.wbinnext_0_s3  (
-	.I0(\fifo_inst/Equal.wbin [0]),
-	.I1(\fifo_inst/n20_5 ),
+	.I0(\fifo_inst/n24_5 ),
+	.I1(\fifo_inst/Equal.wbin [0]),
 	.F(\fifo_inst/Equal.wbinnext_0_7 )
 );
 defparam \fifo_inst/Equal.wbinnext_0_s3 .INIT=4'h6;
-LUT3 \fifo_inst/Equal.wbinnext_1_s2  (
-	.I0(\fifo_inst/Equal.wbin [0]),
-	.I1(\fifo_inst/n20_5 ),
-	.I2(\fifo_inst/wptr [1]),
+LUT3 \fifo_inst/Equal.wbinnext_1_s3  (
+	.I0(\fifo_inst/n24_5 ),
+	.I1(\fifo_inst/Equal.wbin [0]),
+	.I2(\fifo_inst/Equal.wbin [1]),
 	.F(\fifo_inst/Equal.wbinnext [1])
 );
-defparam \fifo_inst/Equal.wbinnext_1_s2 .INIT=8'h78;
-LUT4 \fifo_inst/rempty_val_s4  (
-	.I0(\fifo_inst/Equal.rq2_wptr [0]),
-	.I1(\fifo_inst/Equal.rq2_wptr [1]),
-	.I2(\fifo_inst/rbin_num [1]),
-	.I3(\fifo_inst/rbin_num [0]),
-	.F(\fifo_inst/rempty_val_8 )
+defparam \fifo_inst/Equal.wbinnext_1_s3 .INIT=8'h78;
+LUT2 \fifo_inst/Equal.wbinnext_2_s3  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wgraynext_1_5 ),
+	.F(\fifo_inst/Equal.wbinnext [2])
+);
+defparam \fifo_inst/Equal.wbinnext_2_s3 .INIT=4'h1;
+LUT2 \fifo_inst/Equal.wbinnext_3_s3  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.F(\fifo_inst/Equal.wbinnext [3])
+);
+defparam \fifo_inst/Equal.wbinnext_3_s3 .INIT=4'h6;
+LUT3 \fifo_inst/Equal.wbinnext_4_s3  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.F(\fifo_inst/Equal.wbinnext [4])
+);
+defparam \fifo_inst/Equal.wbinnext_4_s3 .INIT=8'h78;
+LUT4 \fifo_inst/Equal.wbinnext_5_s2  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.I3(\fifo_inst/wptr [5]),
+	.F(\fifo_inst/Equal.wbinnext [5])
+);
+defparam \fifo_inst/Equal.wbinnext_5_s2 .INIT=16'h7F80;
+LUT4 \fifo_inst/Equal.rgraynext_2_s1  (
+	.I0(Empty),
+	.I1(RdEn),
+	.I2(\fifo_inst/rbin_num [0]),
+	.I3(\fifo_inst/rbin_num [1]),
+	.F(\fifo_inst/Equal.rgraynext_2_4 )
+);
+defparam \fifo_inst/Equal.rgraynext_2_s1 .INIT=16'h4000;
+LUT2 \fifo_inst/Equal.rgraynext_3_s1  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.F(\fifo_inst/Equal.rgraynext_3_4 )
+);
+defparam \fifo_inst/Equal.rgraynext_3_s1 .INIT=4'h8;
+LUT4 \fifo_inst/Equal.wgraynext_1_s1  (
+	.I0(\fifo_inst/n24_5 ),
+	.I1(\fifo_inst/Equal.wbin [0]),
+	.I2(\fifo_inst/Equal.wbin [1]),
+	.I3(\fifo_inst/Equal.wbin [2]),
+	.F(\fifo_inst/Equal.wgraynext_1_4 )
+);
+defparam \fifo_inst/Equal.wgraynext_1_s1 .INIT=16'h8000;
+LUT4 \fifo_inst/Equal.wgraynext_1_s2  (
+	.I0(\fifo_inst/Equal.wbin [0]),
+	.I1(\fifo_inst/Equal.wbin [1]),
+	.I2(\fifo_inst/n24_5 ),
+	.I3(\fifo_inst/Equal.wbin [2]),
+	.F(\fifo_inst/Equal.wgraynext_1_5 )
+);
+defparam \fifo_inst/Equal.wgraynext_1_s2 .INIT=16'h007F;
+LUT4 \fifo_inst/wfull_val_s1  (
+	.I0(\fifo_inst/wptr [0]),
+	.I1(\fifo_inst/rptr [0]),
+	.I2(\fifo_inst/wptr [4]),
+	.I3(\fifo_inst/rptr [4]),
+	.F(\fifo_inst/wfull_val_4 )
+);
+defparam \fifo_inst/wfull_val_s1 .INIT=16'h0990;
+LUT4 \fifo_inst/wfull_val_s2  (
+	.I0(\fifo_inst/wptr [3]),
+	.I1(\fifo_inst/rptr [3]),
+	.I2(\fifo_inst/wptr [5]),
+	.I3(\fifo_inst/rbin_num [5]),
+	.F(\fifo_inst/wfull_val_5 )
+);
+defparam \fifo_inst/wfull_val_s2 .INIT=16'h0990;
+LUT4 \fifo_inst/wfull_val_s3  (
+	.I0(\fifo_inst/wptr [1]),
+	.I1(\fifo_inst/rptr [1]),
+	.I2(\fifo_inst/wptr [2]),
+	.I3(\fifo_inst/rptr [2]),
+	.F(\fifo_inst/wfull_val_6 )
+);
+defparam \fifo_inst/wfull_val_s3 .INIT=16'h9009;
+LUT4 \fifo_inst/Equal.rgraynext_0_s1  (
+	.I0(Empty),
+	.I1(RdEn),
+	.I2(\fifo_inst/rbin_num [0]),
+	.I3(\fifo_inst/rbin_num_next [1]),
+	.F(\fifo_inst/Equal.rgraynext [0])
 );
-defparam \fifo_inst/rempty_val_s4 .INIT=16'h871E;
+defparam \fifo_inst/Equal.rgraynext_0_s1 .INIT=16'h4BB4;
+LUT3 \fifo_inst/Equal.rgraynext_1_s1  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num_next [1]),
+	.F(\fifo_inst/Equal.rgraynext [1])
+);
+defparam \fifo_inst/Equal.rgraynext_1_s1 .INIT=8'h96;
+LUT4 \fifo_inst/rbin_num_next_4_s6  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.I3(\fifo_inst/rbin_num [4]),
+	.F(\fifo_inst/rbin_num_next [4])
+);
+defparam \fifo_inst/rbin_num_next_4_s6 .INIT=16'h7F80;
+LUT3 \fifo_inst/rbin_num_next_3_s6  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.F(\fifo_inst/rbin_num_next [3])
+);
+defparam \fifo_inst/rbin_num_next_3_s6 .INIT=8'h78;
+LUT4 \fifo_inst/Equal.rgraynext_3_s2  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.I3(\fifo_inst/rbin_num [4]),
+	.F(\fifo_inst/Equal.rgraynext [3])
+);
+defparam \fifo_inst/Equal.rgraynext_3_s2 .INIT=16'h07F8;
+LUT4 \fifo_inst/n529_s2  (
+	.I0(\fifo_inst/reset_w [1]),
+	.I1(\fifo_inst/wfull_val_4 ),
+	.I2(\fifo_inst/wfull_val_5 ),
+	.I3(\fifo_inst/wfull_val_6 ),
+	.F(\fifo_inst/n529_6 )
+);
+defparam \fifo_inst/n529_s2 .INIT=16'h4000;
+LUT3 \fifo_inst/rempty_val_s2  (
+	.I0(\fifo_inst/rbin_num_next [5]),
+	.I1(\fifo_inst/Equal.rq2_wptr [5]),
+	.I2(\fifo_inst/n232_3 ),
+	.F(\fifo_inst/rempty_val )
+);
+defparam \fifo_inst/rempty_val_s2 .INIT=8'h09;
 DFFP \fifo_inst/reset_r_0_s0  (
 	.D(GND),
 	.CLK(\fifo_inst/n4_6 ),
@@ -209,6 +387,34 @@ DFFP \fifo_inst/reset_w_0_s0  (
 	.Q(\fifo_inst/reset_w [0])
 );
 defparam \fifo_inst/reset_w_0_s0 .INIT=1'b1;
+DFFC \fifo_inst/rbin_num_5_s0  (
+	.D(\fifo_inst/rbin_num_next [5]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rbin_num [5])
+);
+defparam \fifo_inst/rbin_num_5_s0 .INIT=1'b0;
+DFFC \fifo_inst/rbin_num_4_s0  (
+	.D(\fifo_inst/rbin_num_next [4]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rbin_num [4])
+);
+defparam \fifo_inst/rbin_num_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/rbin_num_3_s0  (
+	.D(\fifo_inst/rbin_num_next [3]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rbin_num [3])
+);
+defparam \fifo_inst/rbin_num_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/rbin_num_2_s0  (
+	.D(\fifo_inst/rbin_num_next [2]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rbin_num [2])
+);
+defparam \fifo_inst/rbin_num_2_s0 .INIT=1'b0;
 DFFC \fifo_inst/rbin_num_1_s0  (
 	.D(\fifo_inst/rbin_num_next [1]),
 	.CLK(RdClk),
@@ -223,6 +429,34 @@ DFFC \fifo_inst/rbin_num_0_s0  (
 	.Q(\fifo_inst/rbin_num [0])
 );
 defparam \fifo_inst/rbin_num_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq1_wptr_5_s0  (
+	.D(\fifo_inst/wptr [5]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq1_wptr [5])
+);
+defparam \fifo_inst/Equal.rq1_wptr_5_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq1_wptr_4_s0  (
+	.D(\fifo_inst/wptr [4]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq1_wptr [4])
+);
+defparam \fifo_inst/Equal.rq1_wptr_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq1_wptr_3_s0  (
+	.D(\fifo_inst/wptr [3]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq1_wptr [3])
+);
+defparam \fifo_inst/Equal.rq1_wptr_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq1_wptr_2_s0  (
+	.D(\fifo_inst/wptr [2]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq1_wptr [2])
+);
+defparam \fifo_inst/Equal.rq1_wptr_2_s0 .INIT=1'b0;
 DFFC \fifo_inst/Equal.rq1_wptr_1_s0  (
 	.D(\fifo_inst/wptr [1]),
 	.CLK(RdClk),
@@ -237,6 +471,34 @@ DFFC \fifo_inst/Equal.rq1_wptr_0_s0  (
 	.Q(\fifo_inst/Equal.rq1_wptr [0])
 );
 defparam \fifo_inst/Equal.rq1_wptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq2_wptr_5_s0  (
+	.D(\fifo_inst/Equal.rq1_wptr [5]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq2_wptr [5])
+);
+defparam \fifo_inst/Equal.rq2_wptr_5_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq2_wptr_4_s0  (
+	.D(\fifo_inst/Equal.rq1_wptr [4]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq2_wptr [4])
+);
+defparam \fifo_inst/Equal.rq2_wptr_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq2_wptr_3_s0  (
+	.D(\fifo_inst/Equal.rq1_wptr [3]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq2_wptr [3])
+);
+defparam \fifo_inst/Equal.rq2_wptr_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq2_wptr_2_s0  (
+	.D(\fifo_inst/Equal.rq1_wptr [2]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq2_wptr [2])
+);
+defparam \fifo_inst/Equal.rq2_wptr_2_s0 .INIT=1'b0;
 DFFC \fifo_inst/Equal.rq2_wptr_1_s0  (
 	.D(\fifo_inst/Equal.rq1_wptr [1]),
 	.CLK(RdClk),
@@ -251,6 +513,34 @@ DFFC \fifo_inst/Equal.rq2_wptr_0_s0  (
 	.Q(\fifo_inst/Equal.rq2_wptr [0])
 );
 defparam \fifo_inst/Equal.rq2_wptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/rptr_4_s0  (
+	.D(\fifo_inst/Equal.rgraynext [4]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rptr [4])
+);
+defparam \fifo_inst/rptr_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/rptr_3_s0  (
+	.D(\fifo_inst/Equal.rgraynext [3]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rptr [3])
+);
+defparam \fifo_inst/rptr_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/rptr_2_s0  (
+	.D(\fifo_inst/Equal.rgraynext [2]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rptr [2])
+);
+defparam \fifo_inst/rptr_2_s0 .INIT=1'b0;
+DFFC \fifo_inst/rptr_1_s0  (
+	.D(\fifo_inst/Equal.rgraynext [1]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rptr [1])
+);
+defparam \fifo_inst/rptr_1_s0 .INIT=1'b0;
 DFFC \fifo_inst/rptr_0_s0  (
 	.D(\fifo_inst/Equal.rgraynext [0]),
 	.CLK(RdClk),
@@ -258,8 +548,36 @@ DFFC \fifo_inst/rptr_0_s0  (
 	.Q(\fifo_inst/rptr [0])
 );
 defparam \fifo_inst/rptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/wptr_5_s0  (
+	.D(\fifo_inst/Equal.wbinnext [5]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/wptr [5])
+);
+defparam \fifo_inst/wptr_5_s0 .INIT=1'b0;
+DFFC \fifo_inst/wptr_4_s0  (
+	.D(\fifo_inst/Equal.wgraynext [4]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/wptr [4])
+);
+defparam \fifo_inst/wptr_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/wptr_3_s0  (
+	.D(\fifo_inst/Equal.wgraynext [3]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/wptr [3])
+);
+defparam \fifo_inst/wptr_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/wptr_2_s0  (
+	.D(\fifo_inst/Equal.wgraynext [2]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/wptr [2])
+);
+defparam \fifo_inst/wptr_2_s0 .INIT=1'b0;
 DFFC \fifo_inst/wptr_1_s0  (
-	.D(\fifo_inst/Equal.wbinnext [1]),
+	.D(\fifo_inst/Equal.wgraynext [1]),
 	.CLK(WrClk),
 	.CLEAR(\fifo_inst/reset_w [1]),
 	.Q(\fifo_inst/wptr [1])
@@ -272,6 +590,34 @@ DFFC \fifo_inst/wptr_0_s0  (
 	.Q(\fifo_inst/wptr [0])
 );
 defparam \fifo_inst/wptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.wbin_4_s0  (
+	.D(\fifo_inst/Equal.wbinnext [4]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/Equal.wbin [4])
+);
+defparam \fifo_inst/Equal.wbin_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.wbin_3_s0  (
+	.D(\fifo_inst/Equal.wbinnext [3]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/Equal.wbin [3])
+);
+defparam \fifo_inst/Equal.wbin_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.wbin_2_s0  (
+	.D(\fifo_inst/Equal.wbinnext [2]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/Equal.wbin [2])
+);
+defparam \fifo_inst/Equal.wbin_2_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.wbin_1_s0  (
+	.D(\fifo_inst/Equal.wbinnext [1]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/Equal.wbin [1])
+);
+defparam \fifo_inst/Equal.wbin_1_s0 .INIT=1'b0;
 DFFC \fifo_inst/Equal.wbin_0_s0  (
 	.D(\fifo_inst/Equal.wbinnext_0_7 ),
 	.CLK(WrClk),
@@ -294,16 +640,16 @@ DFFP \fifo_inst/reset_r_1_s0  (
 );
 defparam \fifo_inst/reset_r_1_s0 .INIT=1'b1;
 DFFC \fifo_inst/wfull_val1_s0  (
-	.D(\fifo_inst/wfull_val_7 ),
+	.D(\fifo_inst/wfull_val ),
 	.CLK(WrClk),
 	.CLEAR(\fifo_inst/reset_w [1]),
 	.Q(\fifo_inst/wfull_val1_2 )
 );
 defparam \fifo_inst/wfull_val1_s0 .INIT=1'b0;
 DFFP \fifo_inst/wfull_val1_s1  (
-	.D(\fifo_inst/wfull_val_7 ),
+	.D(\fifo_inst/wfull_val ),
 	.CLK(WrClk),
-	.PRESET(\fifo_inst/n377_4 ),
+	.PRESET(\fifo_inst/n529_6 ),
 	.Q(\fifo_inst/wfull_val1_3 )
 );
 defparam \fifo_inst/wfull_val1_s1 .INIT=1'b1;
@@ -317,23 +663,23 @@ defparam \fifo_inst/Full_s0 .INIT=1'b0;
 DFFP \fifo_inst/Full_s1  (
 	.D(\fifo_inst/wfull_val1 ),
 	.CLK(WrClk),
-	.PRESET(\fifo_inst/n377_4 ),
+	.PRESET(\fifo_inst/n529_6 ),
 	.Q(\fifo_inst/Full_2 )
 );
 defparam \fifo_inst/Full_s1 .INIT=1'b1;
 SDPB \fifo_inst/Equal.mem_Equal.mem_0_0_s  (
 	.CLKA(WrClk),
-	.CEA(\fifo_inst/n20_5 ),
+	.CEA(\fifo_inst/n24_5 ),
 	.RESETA(GND),
 	.CLKB(RdClk),
-	.CEB(\fifo_inst/n26_4 ),
+	.CEB(\fifo_inst/n30_4 ),
 	.RESETB(\fifo_inst/reset_r [1]),
 	.OCE(GND),
 	.BLKSELA({GND, GND, GND}),
 	.BLKSELB({GND, GND, GND}),
 	.DI({Data[31:0]}),
-	.ADA({GND, GND, GND, GND, GND, GND, GND, GND, \fifo_inst/Equal.wbin [0], GND, VCC, VCC, VCC, VCC}),
-	.ADB({GND, GND, GND, GND, GND, GND, GND, GND, \fifo_inst/rbin_num_next [0], GND, GND, GND, GND, GND}),
+	.ADA({GND, GND, GND, GND, \fifo_inst/Equal.wbin [4:0], GND, VCC, VCC, VCC, VCC}),
+	.ADB({GND, GND, GND, GND, \fifo_inst/rbin_num_next [4:0], GND, GND, GND, GND, GND}),
 	.DO({Q[31:0]})
 );
 defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .READ_MODE=1'b0;
@@ -344,17 +690,17 @@ defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BLK_SEL_0=3'b000;
 defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BLK_SEL_1=3'b000;
 SDPB \fifo_inst/Equal.mem_Equal.mem_0_1_s  (
 	.CLKA(WrClk),
-	.CEA(\fifo_inst/n20_5 ),
+	.CEA(\fifo_inst/n24_5 ),
 	.RESETA(GND),
 	.CLKB(RdClk),
-	.CEB(\fifo_inst/n26_4 ),
+	.CEB(\fifo_inst/n30_4 ),
 	.RESETB(\fifo_inst/reset_r [1]),
 	.OCE(GND),
 	.BLKSELA({GND, GND, GND}),
 	.BLKSELB({GND, GND, GND}),
 	.DI({GND, GND, GND, GND, GND, GND, GND, GND, GND, GND, GND, GND, GND, GND, GND, GND, Data[47:32]}),
-	.ADA({GND, GND, GND, GND, GND, GND, GND, GND, \fifo_inst/Equal.wbin [0], GND, VCC, VCC, VCC, VCC}),
-	.ADB({GND, GND, GND, GND, GND, GND, GND, GND, \fifo_inst/rbin_num_next [0], GND, GND, GND, GND, GND}),
+	.ADA({GND, GND, GND, GND, \fifo_inst/Equal.wbin [4:0], GND, VCC, VCC, VCC, VCC}),
+	.ADB({GND, GND, GND, GND, \fifo_inst/rbin_num_next [4:0], GND, GND, GND, GND, GND}),
 	.DO({\fifo_inst/DO [31:16], Q[47:32]})
 );
 defparam \fifo_inst/Equal.mem_Equal.mem_0_1_s .READ_MODE=1'b0;
@@ -363,6 +709,51 @@ defparam \fifo_inst/Equal.mem_Equal.mem_0_1_s .BIT_WIDTH_1=32;
 defparam \fifo_inst/Equal.mem_Equal.mem_0_1_s .RESET_MODE="ASYNC";
 defparam \fifo_inst/Equal.mem_Equal.mem_0_1_s .BLK_SEL_0=3'b000;
 defparam \fifo_inst/Equal.mem_Equal.mem_0_1_s .BLK_SEL_1=3'b000;
+ALU \fifo_inst/n228_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [0]),
+	.I1(\fifo_inst/Equal.rq2_wptr [0]),
+	.I3(GND),
+	.CIN(GND),
+	.COUT(\fifo_inst/n228_3 ),
+	.SUM(\fifo_inst/n228_1_SUM )
+);
+defparam \fifo_inst/n228_s0 .ALU_MODE=3;
+ALU \fifo_inst/n229_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [1]),
+	.I1(\fifo_inst/Equal.rq2_wptr [1]),
+	.I3(GND),
+	.CIN(\fifo_inst/n228_3 ),
+	.COUT(\fifo_inst/n229_3 ),
+	.SUM(\fifo_inst/n229_1_SUM )
+);
+defparam \fifo_inst/n229_s0 .ALU_MODE=3;
+ALU \fifo_inst/n230_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [2]),
+	.I1(\fifo_inst/Equal.rq2_wptr [2]),
+	.I3(GND),
+	.CIN(\fifo_inst/n229_3 ),
+	.COUT(\fifo_inst/n230_3 ),
+	.SUM(\fifo_inst/n230_1_SUM )
+);
+defparam \fifo_inst/n230_s0 .ALU_MODE=3;
+ALU \fifo_inst/n231_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [3]),
+	.I1(\fifo_inst/Equal.rq2_wptr [3]),
+	.I3(GND),
+	.CIN(\fifo_inst/n230_3 ),
+	.COUT(\fifo_inst/n231_3 ),
+	.SUM(\fifo_inst/n231_1_SUM )
+);
+defparam \fifo_inst/n231_s0 .ALU_MODE=3;
+ALU \fifo_inst/n232_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [4]),
+	.I1(\fifo_inst/Equal.rq2_wptr [4]),
+	.I3(GND),
+	.CIN(\fifo_inst/n231_3 ),
+	.COUT(\fifo_inst/n232_3 ),
+	.SUM(\fifo_inst/n232_1_SUM )
+);
+defparam \fifo_inst/n232_s0 .ALU_MODE=3;
 INV \fifo_inst/n4_s2  (
 	.I(RdClk),
 	.O(\fifo_inst/n4_6 )

+ 1 - 1
src/src/WrapFifoChain/FifoTfe6Bytes/FifoTfe6Bytes_tmp.v

@@ -4,7 +4,7 @@
 //Tool Version: V1.9.9.03 (64-bit)
 //Part Number: GW1N-UV9QN88C6/I5
 //Device: GW1N-9
-//Created Time: Mon Nov 25 11:45:23 2024
+//Created Time: Fri Dec 27 14:45:08 2024
 
 //Change the instance name and port connections to the signal names
 //--------Copy here to design--------

+ 274 - 133
src/src/WrapFifoChain/FifoTfe6Bytes/temp/FIFOHS/FifoTfe6Bytes.vg

@@ -1,7 +1,7 @@
 //
 //Written by GowinSynthesis
 //Tool Version "V1.9.9.03 (64-bit)"
-//Mon Nov 25 11:45:23 2024
+//Fri Dec 27 14:45:08 2024
 
 //Source file index table:
 //file0 "\C:/Gowin/Gowin_V1.9.9.03_x64/IDE/ipcore/FIFO_HS/data/fifo_hs.v"
@@ -17,143 +17,284 @@
 `pragma protect encoding=(enctype="base64", line_length=76, bytes=256)
 `pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
 `pragma protect key_block
-jWih6ak7/z2P6RpNz3vz503y47WXCF6yI4el8IlW6l+TgV+MmVIpmm9OQrACC7gq0oskV0a5zVso
-1iYHR/MYsGecfV3UWCuZQJuGninuyiIaX9HIDhn/yQ4CSQ/9oH5FvjFpzz9Bdp6pVLZnGb7EUpvi
-Ij1Ec0+LIcxc1HNugTbZno6y4QsNlHf4fjFihvqLaLgmD7f/g4CnQSEHpCW+QQF+ynSAqBJMhr59
-1kOIjKPaV0VFJvJsH+q2Vp4Bnl2qfbPaM3m0aKtvTW2wIlRwq9Okhqc1It8i/V54yI1awS2RQjQp
-/GopcgEfto7uy9bUIia9kJVk96EEjQCbViCOcQ==
+KquuDJhwSfieuUeLGjiLskumv5wsIfJw2Rx9v8IjdyTLnwCtPwsjpBCB4FHbcwdkKJzNX8MEEq/k
+8UgyPyCYeOWfyRMZr802xkyIP+dDn+8ZDRZqxylJNgTdVgQiYoSR8fOpJxUN9APIhFpdYAxBBmrm
+8HA+i7Ko7uJaT6cxB3d5dmEm+C5FcBSzVlPuz01FGy7U2ndSXNFI2kZl6B0U3PF9BlID+Ir4AoSd
+vskBY5zv+zT7eLpiGaFTGPQpQ1+4WEGajYr0tLw3v4JKyzc72GuT0Fvxru7ySRp2ltP9CiQuwZqn
++dfOZEr+v3LJKpBJ9rztfVQY7urwA0mlnhji/Q==
 
-`pragma protect encoding=(enctype="base64", line_length=76, bytes=7136)
+`pragma protect encoding=(enctype="base64", line_length=76, bytes=15216)
 `pragma protect data_keyowner="default-ip-vendor"
 `pragma protect data_keyname="default-ip-key"
 `pragma protect data_method="aes128-cfb"
 `pragma protect data_block
-O2Nzlme2Mna4NaGk+0ccXggBKLdRaVFHYzJBt2F0cMR5xLKegO/bY1ghscQpWbSn5La67EKjlLmL
-DOGTeFTR+opUxtW5sEEYzqXO/ryG4yP/3zdv705IxVTF0ElGStlaMYZqwy2Qp95tSW8NiEeBQ2a+
-53PusoxnjnYerJPEcpL5HpJXBqW8t4bqLmjPkkBx+w5sJ1sje9Zo+HjwDr6FvQIM5LxhU3cizbYM
-Xlw3cJXyXvfdAWojLVzkp0TiAq4vuD+BB+LsseqgwSb0hAv78HLrFbmEaoZLfCT29I5qw+1OL+ZX
-IhcdiIjNOGud5sH40vxoWX3QKvoruaY0aUH0wJKzg5um2pnfObLMnSCnlv8aFXbr7tQ6NLZUcdna
-xrL2qWVsRBk+Y6R9FqOS3z30wMr778ZzZmuT/5Ru1nvybAnXEkVSMJGf1vY4qE6DVSHGMEPUnKuV
-rPFsV+/m8KvU8xomPMqkHVbXomk2n/eRVEPKGkGcILhyimaGzdfVh6Z1z7uJnVwQa1dDuxXABq7x
-ZdRf+iM1etDEQ5beMQe3bbJFzYWGQQCU+GEPKGC/OucKEig+DW/xE80xu2OilLALj1Xm92m5UTTc
-SiK1iOgbGoX1XqdmiR+mj8FSJIwIe4bPz/l2pWUCml604cZlHo5zTk2EmhPBJ1uwnO4cxDCqiZL/
-nBEfAdWGEExLiyZHZlmBTlRJZEgC7L01/KMs/EpRhDH9uy+ELO2r7unrDHgw6VQp/Ki6NCro7kjO
-IK5IEFHTJ+uC59hK9dt4+7BeSLLC4v9jlVLaftfRYRLwq49bpdge6kX/iTRkfJX5ZpUvuC0lfKU4
-DCd5rj+lQm3LyzkBYYGjALjtGaEWlXVQMw0e1DiKYhgMs9btYNuo+7ci7kJcbJjcUJxHwlD8npoS
-NFKINv9CHfsymC7I9YzgmM/QCuGcjZkVB8lfSCGjo5j3aXNC/fuExMJYYJtU9Q0/cWZ3RMeYC+vV
-U/nDoiV9iaRnxqDjm/Gys0PniHc9q2SoY9VpZB70Naprm8QRVF2ofkLl3+x1rZCpnKG/It1YwBkx
-8mAMsEVp5Wh8O16u46lvvlTu51msABflo3VVgcLCJ6J4Enq+KaHa7NO/AyY6sEzej1fb99nHYGVx
-8u30eWAM9bGYiz94v16akDtzh/TvKVYgTNM3v2wmeSN+l7HhOjM6hbB4OkUcXEFz6yMwyZeexTlO
-Hs6O1Mxn8p3DKBUkTjC57vyozGZK1ARJYa1PykQge7TaiROGb1ScVyQ7UOn5JIvoJI0Imtx0/l4u
-k1p19Omc62p7cLdsGK2M2JbTx37MklJOWmFpPfXb1jGW8+a4FS1y355XPZgepOd1xKfxIHv1GhHX
-SFoMe1WZGTBTQJ9bzK1XxZ4xah5L+tKUBJj2C/CVGADs5sLIIMNtaX8/DddFYp+YfEFdBdAVZ0S4
-nRX2W6rq7TkejfnaJ84qYtGiomK5k1kedbwTTdbweexL5Il/96ajTVYCrTXReL5DnOQODv5GkJgY
-IC0H6Jxl3ih5rr5fj+QOTfBdbUE0+YMxEFOMqtzg0ac0Tdx2E8YwWiYpaRqcwFbQXGFYhS+kZCrz
-yYrWe6Nt9bzyZhsjOj1j/mmw+gZfg0pW/VwcgLv7h0X7YAgeJQTbc2S9bE54jjrgmRuTIDwgp9dB
-j9R8XY4oufiqe0kD61bDGdboCZ5x6RLZcySd6eukCleLs2Yd/7W26x457xz54Ms81ez6L3zMZp3q
-Z1nqUvjlZNZTFrOPcd+8e7cDa7JNNKMEIq8fRfUfIKcaKlKtWwctX5kr0H9Gs27u9I7YTvfMyVyP
-eYXc5wCKGYe5tdfQcuy9TuzpXNljo4LQRZuwvfn8IRXWWeLhjd8i9TCLxt2VMU7ZP8UYI/irUGT/
-kIqsK66aRzzLp6uOKztGCOYm9+wifJ/hrVeSc11qrirPY0/SFZhWmV66Ydvxa2uruiSU8r4MQNTf
-wSrrydnXIjxU/RYrE2P4WAlju/ixv6BDc56vKuasKvQPS9f/vYddpdoE9HqvPo1IbpVhMlmK7D/z
-80Uta5liJv2M/ch5nSSvHClSI9VMobGJUiXbLiwznBLm1aou6RipV0As4oER4D/If4/h3XCd2IsM
-srPZNhKTtE628Xx/3xbNmhhMvwqH6HJEWdxOFwJ0yk+rlahPVL/Sw0B5rgXhv4q5cMzpZAAaTUZj
-7jGxis7OT8wwgODzWgZO5Ww24uaOgpjcj1QHM+uMfObJ5XOsJfLipVYdfWBmvEWxwTZFaE1UFDPV
-O7sjPKw+IdTMNf8smJmnIPbt/bY19ql0weUjj5hIJbp2ChON6LWkOfBpIflnwZAWGeVeuEnmw5OP
-p0wYcXw4G/zWId7Ak6rg2rVcuX6wgmJO3P2GFqaf/bNTVzG8hLytftmx0VmJH5Y5fneKewAcluE1
-nKEQpAZ7iGhm3SihQNxZ4Y9dtjS20VlWlnayNrNbICP3XoksBX1PPc3w1zMs+7SKVPtjqBAr/LNW
-zB3agzwYyflokVMSf415Q0lCC9v8tnxSDBbMJ805xW2HfY/fcbw4KXGCgVMnTsyw9Et4s2h5FNWO
-m8tdCKqaSCthp4ukotMunxzX55cSuKJT7X9a6U7a/UYSHeagWwNg14+8wKR8PA1XFm/wNEt5JMYC
-Mr7EPIPZTHiIRQVoa8yvSrdIJo9/uTaRNCOdmoHL7OFnp6RocP4L0IZVXslqtVXxFVAlbez6AM4F
-XB0t+bWFGJ0+bF2ZphCqA2x95aVlX/kJoRm66QPBUw7vmc+OocRGdjQy70fujzmNm9CsHrH3LoDS
-qNAra1lwQjXgluiNbIw5/QABmC4L7iweIkRlfeWmVmeOl5r7wDgIXSiHr1n6QwVI+IUdyi1O0HD6
-DO9EfnrOsZzFjIOLD5tBV44TFghVRPPQzVLdPK0ZMRG6UZWGeJlpB1+oWLfTH/Nr6UbJGGKj+BMd
-4g6D9r9yrbXPXPJ3IdyAMOBLKwNVjw2wX+Rdqzr9Y5/bVvBGrwrkTosQ9TZHaY4qk3xidvCu55n0
-WNFSatgvGEPohnftF/ErAT8TXjqjurWZkEpJ9yFpUAipcBUR1QIpeBr4ks2SDfsHe5whJIs8TEVr
-DjXH9hjACAHugbaYjWIplYSpVBn3f2w8s3fNXS8M6vF9zDfHBrLK1sT1iGJKHQ4afkg8LFAdV0Z/
-C6JsNUCeqnnfksQVXNDdkGYyYP8HRJRJ4WI5DBkTBe4nsgGUmaiSdCR32IsO76PgtbjCKLsnebje
-aTgbrK9Lilp6NZDQZUCCzfMqUT5B2WQqiaXIM89MXIeeGcpIxfe3eMSk57wFRy+Zc7my5J1vrIWF
-OYvlx0+xMNvlPRnTdeSDU93Gux7f0bNrPOwzm4F8YHCR0sp942AJL+XupHBNz4KDrzrRiDYevZBO
-qvXoFuslXBaNq3flkqDr/a6wptLx+zF8H+vf3uB+yrR0i5GAKIfmg7u9X1XvnywliVLZhhlvwip0
-359tvWlJpnutpKtDTi0BObIpbdn3bFrPmv8uP0fovaP01i5/22Ai+/QbnnkZOLmMBsHQ52tY0Cv5
-Kjblj0oYG4iVIVnu2vmFAQDAWasdHQCpZkeJbC0dbuIyH15AMuFir6SU5dKtwxT2POvkCAg7+HTL
-lmjuXHPm5TnePZMUOWjZMyxhAgtQnFlYIcyukCvyZJKriVQH0H46iPbx/1micozJ1JPVk9tJuUFj
-SzMcWjoldfcEFwq9Z9ANHurpCMPVYErtUXurIxPhUQUzqEs9uS66HXHoHiokuALKqQtwzau42vGO
-uVrWsQA2zWUqsM8g1lSzGBQ4RuRg07nNWMCWokqwg1MrevbxBgzlJprIaYQ7/Kql1FnUJPTuBYvj
-LMy+Sg9+0JY49DdA51YjcMZsPbxhsgcOujlJD09u6Ht5UkhAf/K1MHT+hTQ0wK+A01/hVmOEHZly
-SCMVm2g3y40WgunaNc7dwtWEIdWf5/yxu32HtXpwYFHtwvLR/RavbTPb4jQbeqoRVe2PBIgP/nPY
-AIrSZCDKCGR4XVM1TSJ+EEga9+pthHswznNswE5Gfhb+R69NQ6/JtJV0vyDV9SjDcpEJ8jXWZ8+7
-6CrCh2SCSm6dNA4+bcVT1ScsUf4O0k56dwDstz61GOCBl/aY7rVk0t4r5XBhot3aBVMZokZFRjV4
-zrLWl8MxzJFuO+g6bz7OiuPDimaGWzPHnjk5kiwkKa3QQiQqtnzpaxRcU1Hhi7Mfn7TEF0h6+gfC
-w3WHW08kEwVEGeIgf84XSQ87SXJW4+5tfrnO2jccf91jK1hwXdnSuzsA6+EYY1xbR+vJLQ9SafuA
-YKis87zderELDBqQzzVLk1aWKWXCADRK5wN9CCO3y9dqZSBDAAXkOkGH1u/A4VunaL+2Jk1Xgne/
-koP8HCC+Oby4SpzqMvkj1qmc2e0ke5jh41ieVNtcaFxDizXSW4QxRjNx2MA3fuDdchl0fNbUDVnq
-eAjA+YqBQ+qMNHMVzNcSxQfjHF1AJwH1k0ikFxTzS8frT8HNJnEqo6ri4CjongwTYIC09NByfCEa
-pQxP27Jx3lU/YIKq7usklrvcZI84IaR3ofFHqVVVZwgCyo0locP2mcaTgGJTSP0JIYq3gV6BeCbq
-Y71BinfRAsXDmvpsegIDQeWUNU+vbc1fnzJ1FiqGz47Yc+tzlbvDbLoiMyn9jYgRnBMaMXtpZ8AX
-ec6dbV4/ycplJC2L4htVoaxG7fXwMuGsA6510Vr3jPAh2TJiBsDrLaSi3kZ+mccmmfN7TgC977za
-+SH6+hKU5ZUcaiRTHjDZHhk/JDzfxYYUtdBTxZ0C9XFLoqfphnatbwuj/sX9XpKA2F+wQI2WTqNJ
-2eIzQ0biUVBqC2rL5NnT683OktCDVtLZyc6IceuMyK18tj/tyZ1sceWZd9JXa+rkDBsl3eOkSbZk
-ceMyeO+dcnYwVTqv1w2pcWz2vak1CzFfw0jryEm2NyjS0h/Cqnqwxpz4lqMwNTxELM6hhIx/gJHg
-EWeZCKUTxirTNHks5I4NzR2rB6yzUcziz/u+4+LWA3c4Peid1cfmY+jJFMQ+aOcIU6BbR5qR2MSe
-4QZ0oHoBPkluizvBgWaA2qDDwWginLJol2yWVW9fJz1rJLXITrOLLnwoWdFRERio39Ru5QcB2Cb/
-7qjNWBSisW/ZAfhvtytO0KmhmZ24sqerHKz1ofv6xSnf6SGpryTCRwI41GEYRjssvF08SfZSP2bL
-utZzDDfFbSSslRtzl7TH83jz3j/e9iQwP36zxwUmh0trozFhF1ysC1e/LfiCDnpTQ6O8CbrjkbVy
-/rFwU0vqmb1kvGd+vQl+TS4BBiusE7dYZ3guf1YXhArCqTRfgzAEYKeXAZRPjgFFlpSQNXqnqAuk
-sVzKmhVtmW55mJX2bCCxmasUONkp9jBmJghGrKjmixALy3FeENyB9AAsENYTCJZuMbM0/hk3STha
-pFptROm6VTC8YeDVWq5bFV988aXQORkoQCJtswyinJlPCOa29X6OSu59uxVFUDB6KC7ddXFzGbSS
-rxbHiBMsZ7EV+F3shrlLpC0yTWsuRY9rXwT+AJIO3ABvFLFAjaeQxAiWzMDmtGkjqfETTKp8PXkz
-ON1Ur3L/90SScA7FgNTvji5GnZo6TcJI2Zw+eyd5jv920gKiRL/GTsAjEw4BogNfOjq8rUhrSWKx
-c8gp7/n//NUQzRI8jr3k6geh//NfLFYig359eCWsPu0IJ/j7BCU141K80W5h7DRGWDCgmv/1qNG3
-aZd1x4T48cgM0jThBD4Otr7jiLwEQeFjbjk+R26HHxlKCKZSNWdyLehPjSLYSrsAFLvnp1EKnra6
-zjQLyzIwlYXGsKffq5QCAhVbvA7zz9Cw+u/5Gj18205zRQhDOGjfUCDiEomoJjJxqfN2G3UXTzjq
-DXZXwoScnSCSootzOkbpAN5MfqQbnsqT5taqhYayf9Ouacm0V1gGthDl0MZBcLFBhnyfWUmp5KO/
-XGSJ5CnjRDJZPQpGuYR5ZtCSJ8MxVtYfKwjo+gsMDmEEJU7+wkMdXAtjBPRC5db0bv0S+yRS+bJ5
-ABBVGBTKRJyyFyAuRi6g3/m4YBXIuuWaBMHc+ZW2m5otA6SwMcAz9QaLtDWa6Xs2jcPLRfjvENGM
-J9m9uTDI2ZvjEphH/YE+XpZveNInnAz1IOIo6mC1xloEzQNAB+vzMRpQnVFbdd3V/zjvufM5Zm1+
-7X6B1/YRS47sZ+k/iCRgHATj/Jfg56QM2LkLslfALL8Fi1TRkFWkA1YbGyorLiwK1AyCgyIaEyLx
-cC+J04gZUai6UAVMYL2JbGH/LcenciC7OhLPRORk7xxS9ycsF2+MF1Ox1caBAHrCVrZOEZDpxjQp
-Cu0T75hSX5HP1Q8gOa+O+iiEckhFqsxT0Y0dG/tq7mDMOAVbeNwXkRyRVSAaHF4UuXeW4jJK1z9D
-Cg6iTCu65a07O/r/Qkr8QjveotwhgLEaPMgyIffkSJLW4hdJfVri94hFtiyiXqKHUHPwlJc8fkg1
-qwZZWzltS4KoBieIDDwyCXVpy0N9i9ub+pNg9mxKB+WMIBRfbmiMni+ayUlJBcjlJqIG97999Bhr
-6Th/yp1Kx2fwF12gdoqRKDkQ8xj0BeU4kAXK34BrijlMzXxpJgzjyucq00FwVtQx1R88dqw3f4eG
-idg+KDaJX1eh811L5KoY6reWqqCrTHWKOsWCNCDghbkW68Wpb4itiAtjZxkARbId0XWADzj0ZrPd
-7xuiP/PVAlTBhd239khQqcJOoCPYZ3f/Qab4Z8x6+g7Ce/7yQrZQqagaDIeUvnOdE6sFZnQ2CFg2
-R0J0pYVQjnES7i70XU7dZZfZkJeyUqlivPatzpOPh9q+1/tBGC6tLPE65Zl/0pBU59fyl3PfJFz4
-2JbWk5tFUahDxyc491HwhfcpnISbwJ8+s+N0kL/osTUWRVtU2JuB/+I9dhJzZbzr9d9bdGJWIfkl
-AZBzL6TynWlifXyKW8mUgr2LV6WhT893fhIAfRngIiVGkBQOnIbYbmr//vXiOCEEopAITuwFzCqo
-G+AW5fxW6TziuuqRMq+fs17U6OFWnhUKaC550ffK4HxOj6DsBCu9Z0gx/6TO4FCn5HMdFo7Tkwfj
-QUQvF3iT2wotDY8gr3GlfoCf8oJxLKLC8Vozsuw5x/y8gds4VjRe3bl8IoQ+kcxtDB3vYrNmRgZq
-xfo6IitwTGNECcpa+Jc8wvQMLPAldqa1tNJnFLt9IV43VcgImPIN7rkRGdJT+gjqyBg9q75J66bG
-Cj0xy0uC0jjbpkSVgvZsUgp3gDAwIqyBKowUXLYKWAYUZxkmJgN9ujearFIcArj9/ewir8LZlf/K
-ddCdBvApr9KTurOMfP/1vA0D/gIlTKP9Rzn1atvct2PMtXHWfo1jMmCZbT5xGJ7PVOjeLwFmCzy3
-BNL94GqH9HFU+EmQ7W+jAy73P1CicUK3fE5Yn61jJuk264W65g+xZoodBT35YOI1RI+miKIry+QD
-4s6O5Dk6LUf4ehQqGWiQMKYkLkeESXwm+AmTe8FRyf/3kYI/Vte3VQxDj86YGhkVmAv0asZz+YJz
-vhhqLwIbEnjk5k+lYOkOmWvWZG9jhg3Et/fZAe3hS/nfYCoRzF4BKHUmt+dvrnvfnhB+STbJZNcT
-1TV8V2YJGVqeWbGqKKv0VHs+DTjcFxpnu5VhDN6tZRI8/m6RXzaRQC1QCA5Qc8shIU3LXQiRXQyS
-ORr13bxq7apbS52fk5KCj6Q44L9wrsimYSkQDUa8Rq6vbUo+/iYVi52mgYgYmqN1RpRoiLSWU74n
-JT9AfOwPWoTOrJ4Rpo3Da3Lx/EPYXeAxgsFxIERNNTtQr6FiLcyxcrMRYrJi/P6v55IcSiLNciqs
-63PUMtmYt2K2H7W8Wk4G6K7AlYh230N78s01YUpIl/ridB81Cty8LdOPoMowQU8ltsa9nbZo0ryi
-XSI59LG0NmjXQvLVtWtnXMy8LX+d4TwRkS4fn8QDBNeHxXtleKk478FGW8g6KhNwvw8J2oDA25FG
-vfL9M0pOI8m81KEQkvJfOiKqc09BWQSkTFNXFU4xVi1vMx5tdWTV3m8/d64jnb6V6MiVrU03/qVH
-qOFeFLFwVQ/r9kjeouXycUQ2qGgeNJK1cD8jP84C7jydLGJBF6g/bdJAFMf27vwSsKKnUNmHV1yj
-pdOskZVEW4+7KBWbdYF7PTQhvCHJBjMwRoyBYt1z/mXRRadPBiajlN26VB57J4Ut167tSN0N/pD1
-ABW4Mtzf3VQGQ3WLsGpN/9V54RkuKo9CpN48h9s/LdYn41dymjyEM04hQyPfu1M7e6sC8nk5XSr5
-IGxPf5KmVkQGu1USmyhVYkdKWVTtz1K3FlGASQJXdBsspZchohil8L8LYIFx4iq575hy47rPUwma
-wdMZbXT7WiIsru+F0+N+klJWToY0wDJnNh5Uy4VUBBNHDNF4uJxOHK2cTMRE3vc0ngIz6nnA83Ac
-RVsWE9gdqxL37Niq+epnF3vYjtxPi8BSXvRsVJz3egOiRDTDRZPdGweHdp/N8ulI4bGs33yJ+hzT
-KbcH3d+ZxRnbxv0RL5pIVE6XXvFEFXGAbO7KudGMipW6Wl5LYZQFGYrs+jhQL0m1g4/YhSxOS07v
-LAiJFtcr7JmYprsn51YJl0wUzH/EOmBqfxKzSHSCUUxa4Ycrx9GAADuWqt0WIFBH7JU+CltNkmZl
-OnP/A00IP4F3Dh5jZuWXvx5PAJan6GjLA5LjsGBvqjxVvFV7sogKQxqwl3Ro0ywqYGw9zKUEujEx
-miA98l+MjGlrpgfutsJ3afCo069lqeum2IhtcCMSd/VS54FzmKkKmtqCsShJNKJmJaIn93Ok/vLB
-VW/ZQ/bIN/6WtyKNW6tnziFxsQljJriwEXixd9i7luONUjJ84E58rbDqVLIuWRK/O3x9TZTtoo1p
-ro5ig67TOmSHEVNYZI2L/knXxS8W+qMO4pNhzEmBFD4RcxhJmtpetCihoxVXn33N1D942yrORueb
-W+hP13yZi7SU7H0/hhuXDYWzHnoOJJ0zqAXmFfRfVCd65CnKLaX3bMuavXZbaVZJWsN316ZQW4Gu
-OIIQrSwlZpIO0bTHUG5s6IiKrXeik8KN46YDpNVnrB9WXX11/1sPUGdUQ4/jrbhbP0z7mcAQqWPt
-9S2hLHcB81hNewpzu5FW6X4dz7TBKVveFvc1BVLm6g8SgvdpER1TI31LyVUxDUcCfsXoHlubcP14
-8MWW22X43JYAUj07Vw73HTt+elsgCufT29aMN8G6BOjZ/G+ts1wI575pDQKcpUe5qTpaQ0DSJgkl
-jd56Tnc6Tlqz8ewkUhq3Xd66JuBZqE1bUw7kfWyZEM3rMZXpvoRa03UOozygede119YdE80FmHmH
-/R5q6GCXIUDXj7csMJvkt0yybCxLUDuR0HYDqli14LWQenh8bPg6yS/EHPDwcHJ8PtMPUb/OHYOO
-pkr25MEyl+V31OQ=
+D/pBBtkvMsoNUNQOA2biMmjOiLRkjB8Ia+X7tL0+6FtgWrB5ygtP5L3nCtXMhTy9vArpsICcbxDG
+gPP/4XffCwymM39lKaZs0G4f2oIjhPox/uslnJeMvQlJ5+n9OC3VCl+MdhN51MxBrh5eOHatBe1l
+/EGNxOOmzBBaOYuUYnBOkHAfLurY4KPvG89/WCQmcxxsj8kKWjVn8Glxqh65XkQ41VvZvJxNOH5t
+nP8/4euTypKB91P1hPtFGd7T8h7s4oMoXUH/w8LXfdi+HPFiwkztb6kG9rVh2iu8t3x5hVyf/PAH
+cjCTrHlLVFnR4xRB/4oCD3YSl52zzTrDm+PGtMmWWCmtYoLgTgX/gnExBR+EE1fGF+eniZgspdSb
+osCG9hUMxCMwL7yH9C+krtygEnQxit7bCxFppkL03NMVbv/819534srJ2hHIcfFx73B1UIuVpAvu
+3vncerNtJJiLSrAltS+Z6lvMoiIDGYX+gFirOEr6CGCjrenBziL16lrc3xGmGddMtBuqMoaW4p/s
+fW5pGTIxV2zsqRJsq7rluQ636yuCbUyOTpHmQAtA0QEcFrs/034F8e/nfsDsvk4JR7OooFdQCasA
+eDFVC8ljqgo+vk+qBKwV6vFoMT3uadXYnSzYU3w3MbA+zKmzyFP+6DOHZXv1AkNl0cv5Jf7UqD0m
+YqKRKxO75Nm8NuAl0YoW7e5H4SRyaKh0eG2uAptlJme2/q7vyOADBwq+BBRnf0VR2rrz26v+PGlu
+zyais1BfcMOYm1T+b+oi2I+UMmDIWzSZiQy6OERrPjOGALaNPsxDskOHR/6QR6XAheAW91/G8k1D
+AQiSpCzRfa6McbuybjLd40iB8QXAHw99m0wzja9Hg/37XqpGsRMOYfUYCVUa68wikuS09rH8ycXn
+7ZnB0vOlulO2mWn5ekiqJas8i5tn0m9/qJLVMtq6mL0CLIPfpsURzyg0iwMFjQRFWcPvVDICrof0
+fmNfXesvcBWvOFPbqAMkt22V2nI0oZO4KQYShMFOnZ9LtxHnv1rAZ8OB0uE+kEt1nBEOFzUIMjih
+MolWxg/zkFckmXnW4hw/d8vmwZGMdTtC5pi/UzyN1Mf2F9oj758ITdBM4G7YWjsp5N7RvRmHWrIG
+2wwjdW1sI64DWUImtJuUx7/b+1EcrBMcMRG5al7AuddhBVG/ZPeUsn5MuP3E6uwOnWVkyVMHKsLW
+aiXEwWxcPyier/k8Boh+PZld3ocZx+iGvD7WQvmDXZo1QK7YMr13MIbO63mkKFVtiQs3BDa8W6Sk
+Z9ywR2IppLUUdSvjyL3emhXvXOUvdTtNyJo0BbrW3S2kfXY127W05BRdvMaBlFJFQTLfDfNiKG1z
+6K/29w9i3FUS2IuV3qDlQ9J/DS4rKSIfHGbDEVWFOQAYX1ntwjX/j9xzG5d9paJwCNPcZqtPdeNg
+m9wtzruX3LsLHiCSIUVBMg0V0r11u9hAaJKNqTEBSGDNkkHYca4Auss537eYDmRaaYrf4QE1D6je
+wt/+sSzo3P/EtOH1kr06FXu5N/ePEi/6mgfJbobkteyPuLXcgn/p2gaXuaPZGmO0HIVEQEcYIJP7
+E6kgJtkk2+/aVEqBCOjCaRRF4Ks1DCGyYthrRbW6EO5VIFtuJSwMPtG/sVSwMnXWONueCVuqlNQ2
+zlTishpUhl6eRkXJkgJQKojFRviiJPWQqGeGLACz9jKPNucjffSJmexkjGk1ZaL24yj7kCOTeBkt
++Vs0q1YO7VDrKwyPEpIf46LtZn6asfQKK/El86cnBiuHZsIYQXHE/KcLUPlXX+jwFFMkV/bomJew
+d0b4NXTkM+oVzkyfpp4L1VAOQZgQ5jl6tQAcnGmZfXjP4QaalUcAOffQK7LWB4zdMAJ2jScyRF8o
+F574mGMIw+vGfngZK98/TrXC0sz7XlprMUiIeYsTeucajuwrklNCUWsufoGxmrCvV+LCqP3TahUV
+9r0hpy14D8RQAN+wCufEX/uHzEr+IAqbmXMeAjsmHuUxviaGbh6ftnwwL6YjfQvFb43wbxfaXkIP
+3dKFpH0uSRBNDoUGc4WPIF2CDPKdWC6CTcMUybUAEChBldnMMq+WbHWPW+lVO8g+Af+vyhIeC7G0
+Ia36tFbmZZyWTf9zWP8wFOPX5YTcGW/hm24U4YuIh2mm/gE7DHqEbRqFLpunl456Wtxjx9rSG1a1
+OfoM711gnTZJcjWYhfqsUolVIPiXHbwcUOmukctjWVvEPLN40M2VKkydVs3zytPFnaP+1YU7Xe2N
+71KFvi6mJIuRgTJ2HpQMaFun1U72Rgr467dj2Xj40UVzwXQ3Agb4OAUurRlrbAfdQSmhWYW7xgh+
+3YyOXj23uhcfQhKnPyBZfB9yFq3/JFFvo6RiolLJ//o7/NTxaYUieMSurHVaqO7f6b6AUtZXK5Gw
+GqxbnG/j2ubFejHBs6hNqe17kNtfllSy1hYkzatu6rGYtwavYevJ9zD8LdoNZ62XaBqputY6mC9a
+ca9oBsuoZUIlD3fGuuF6b91Vnq8NvVN9AnxsPCZeFVl7ZrwCXN2rS1W6L89RV5mmewlkkisSFhxp
+ebmZdVjmvgiZjMKGht9EuMeIJqoc+T4wPLJdYCOE6tnryJhefUeMMiXiS/lwa+hFkNeF4pQfaK0f
+v/IeabG5jv26z/8ijmXVcZklO22wb1pBzhw0snM5WwNhfqKTm0xwb8mgiJOhUA9j27qe11r0bj5q
+TrmFDnUmyE8pka3PHHHPspZ7vO+AogAQgg0kaw543zPMcZr+esmwX/j8AyNujZF5QGx32VEoJza1
+hg2DnECkFs4USmWxBvSl6dBJZsyhU1B0+L/7qbpGvdkp324lz+3iZOUz5j8JVyqRRqHkaGyQhjog
+hgwVwfdj+ePt9KXz14SDuwehstKB6QeaRE+D/KfpBoJ5llgcHSv0p+Qee81RKANluXZB5TDAWFVA
+T6ki79Cgu1WYWuUSVML1OT6GgRYWZKPYL1q+zVJPguQHfygIvIRRkmAsqDXjXXiUn8cZhsUa3HZB
+PSuG0CP/L0tPycGN4H1ZQgpIBQG1vFejRE/Oa3b8TZgeRG9wfmVISmDezWcz2MJSLZI8Wi8b9COm
+235BJdzunm2B+i8UqHcXQjMshtDZ+RdLweM7iNu2ti36MLGNqOzeh8ds0DyIE5d1rPT+y25YhHQi
+lZpOxLAhlkLn5BmmVGzOaniInxEWRvsOWM/Rh3d5cV7asga+dgUt2PPKeJi3jLYhoNMo2pVJ3EGw
+B4zuthSrKa3Cra4Ecz1W8+AqAcywjBw9xaLJjUPttNmoHiw+UOxuXO7te3sE3YQhmPFbSB6K9lij
+pPqNK9v+d5AetNfvwAoSHoECm2i9quV3ftww3ykXyq1qPt2OMaOvwoTi1k6TgrUlgUMr7Wyo2hES
+omCHKNVulqfpLVSzvIWAirpJLFFkQyMb/JxGcSQm3Q1yBjgHaowJkILRR9jc4O4d+kqxJS+9jOyF
+s2X0cQVndJWlpHz0Fzo9ZHGGAkf0s3jD/ZKrmxCNEzlyrlFrb2Ar8A00Pk4VJ6eFQvXSe7i8r5IZ
+ylXhqmdeO1hi8cgTB0kuk3e19NOIfPztfM7nafGlaJ/iv4D4ZJPFsztJDVXT3zjONLawGJmswcXf
+xZ1iUP9Z+aISeIwAwCufwdNChmrglxY3r+uej2yjcN5mzr9oCJv9cVxc7XuU7tiWuI43db08qNCJ
+lqOlsAfiVV3DlgEbbFeN9MEu0ObnKUuQv1wZs/uH45bQdEqCT5ON3a/d93a7ifnzhvqjEAxkdy1r
+FvpgPZsQx80eHWiNevsEuSwtEFrwtltYN76pT5254CimGZqMdfbhYsnTAuDOjaZ41R37uVAMfJdM
+HM5kKYkt1vmqUivjCqpl7T8BfZGLet/lko4cyjAHKL6MtqePLCx9M3JmDYA76FsgOmtHRUhc7f17
+nciP/+1OrYgo5c8pFW5DDZ07V1OiobPfyTyZIuM08k1CC6ez3TabTZe2TM1y2N7OTpuI4pyFtw4k
+Zl8sofjrndk6nKLpAvjpfFOZtJQd+gEF4txjjmMhWULluLHaboSBEo8FUIL4S8XUlSKeBOgz+HaY
+YsoCeR0jbTL7/OoZRRwFYNB8Iz9uGTYtmB7DECJG83N7dilttJso1eF1lRQtuF6TrZ6FVNn7KfMN
+cITpuunOZTRtuISkMxLQYO4yGW2OjoP+8k2amnL1slvZsKlC0fyihSwFy8ihg9tfaBfLWB0KwJfQ
+I3Ct+2+jrcQy2Cl5WIuTPnSpDJUkziI8+YkA0/7lfvjv3RLMB6yFb4qGMXtPHiNMrICi8ZzC67T6
+qM1JzE2YruWXMsvGIbyYNG2QFYfpxGmbwJo/zU2nsOU+5RBgaRk+KThwcP/tBjD6bSnLzCqQGdDS
+BPhkBv0i3lzEgX7Wn1ufTjWHFbp1R8ai1qWrhKhUv238216eAUFU3J1C4pK5EB2N13w7Hg77/ToG
+Ou/D5bqqgv/M9SYyOAsLJQN9WWZ2BtLF4oceipWEHhhIGcE9uSzOfQQ+jG/dNTqFG1ESasuzo8zU
+HWs3SYU3TCBgS3yS1v8TL5nzJ7wSiCtsVewPXI4UU8oJ2vrWMHI5befrrVV+CJVhE8tWXQEY7NBq
+zWE/a9uWmI1Sw5hTX9mxP323ntqH1VE2hdlkE0WuovBJCNDd1Ex/vxmccCSybz/P3HBDC3ZC464y
+sh/FDnTo+6nSGcETs92Xd15NNvnf35FTUrlNnNXhLfSmrg3SI2eokYsJzt0tqjYokaZJUMOALyeq
+/UiKCfiE1L0LBPAJbwAM/I51erFBmtIBJ0t/G7htVJDyj789SbAJfTT5BLYz+61sRfjMxXmkYyiD
+UwkC46M7ioW8N3En2Y+6y74PC1PTanW9biL8gzBT/kxF6TV+zaVcXgehQwO/myGLFPys3d6nh7eQ
+VIoJl+1/NAqpeacXtv37GQHGrDBtwrlzMKuZPocYitZDLkgVv/l/LGECvCelwgqQFvEClD1/Mdyb
+pNL7TDldS+j35f1gFAFT3KwOXmXLEDXiXOZviDlLSktfQVmMoBDvIhriQFbEtGgaj7kr14jpj6yK
+5kdfedHi+4U8IbN1QaDaZ+/DDGJIUDKOvmjiJ8jQFAvK3hOSxkFd6aUNr5kX9kb5Kmn0wbrtkQeQ
+Hjnd/cKpb6AbQWz7MjbAixEoqFX5OfRnhRQtTaep71AOGPhZvyco9O/NJ3GWQS+4lxZ1gfKj7Ax3
+Sh3rAjWmOLJo0GRB37QS65oUBAPqbJMqZTPZLRNEzs8+YbeCxNVES05Rvu76+YeE5PbZuuHcJqVd
+OiqL3u3DsLXNFkWZXzwED9gccAtmyU4XZU62zMSQTO/MfW490N31SckMtu2WYrTa/f5ktpI5MDac
+ccHs4dVMGbR5bL+uUVVskJ3D/8Y01wNIX3KqcC9+N1Hrkx9W2iCql//043zYG/NpQg6g4l+3Fk7a
+RagBVFncPqngQIyrZnIfFhmYEtd7jzW0i9KHfCqqz43OfL3dgPbB426rxX3qq9hQ57ERLEKu+0Sr
+8cIIk6upR7kTn9r4VM/mynFv7iSPv4sTaFNDl+RizLqfoWFpIvLAUdw5k702MfRF1DXbsPtyyOVc
+q11u+wLXWv/L7QE8xaPZQAtFXXydvetooA7KdQAhBuoSo9YFBo0B5ZC1wYUMzGpRPDmsTbp9PQNy
+UvFYHSQSho48NKXyilmARp8QInxZYplD+A7+nEI6KDah7m3nfvTI03E1dJbdY/HTVQoCMDe168Lj
+zfbMQiExVpDJike+G80t/Z/Ox8uaBcUOLG0Qt1pmtAxZY6gCkCoqrevx979c49Qc8aKbfUkYq5At
+7XGf4vGsYkqBTXsWBrdTZ/I195Rf+3pl5OxH9O2SDKDMM/Vbxw+UkgXHt+64HNeq8goc8uhFpUcX
+F1VRWIk0HZJPoorQ/3cgOcPkvOX9BQSCCjLfhn+u3E3pF5PIjiTzwtpWOv3Or8in4OO3B264s1lY
+zdcU04vWn19LRRySNa9NGLtbNQQsVrrUqRftzYoqLJyOnXPOTIQq7phBZQE8n1u+4pJc9xDeKP3+
+qOU+YAEqFxe2vqhg37oPWitIf7cP+kdsWw3ud+TLuO1sz1IlYtPtdd1z7svfInvt30L9n5UyQvU/
+HekFdUqIM/eky2ZIXO4MZY+xF/+Jl9+KtpBc6G/Kr2KPDaG/g/3D78W95mBPM6UhnAOweG6PdcKE
+knSe0fpVs28PJoLiTLAZQ6E5tflqYvGN+VbGd6wZrF1yP7e952PCxjhmiLK+bnag0lcDAiAkBF9o
+BbMkL0Ze/86KyHtJq7htDnLYamPzAzaTNUDEPV41F7cZKrO3RYPCAoKb3UESOGTfVH8fuTvi4j4g
+q+1zZHuaKFGZB/6+ni57LPSjH+cCCYX5M/Ox7ElGxIa/2hJC959KaQkFGkUf1byyuaVY+r5u0G4k
+k9TFbp5ntQa1asV5+Yj4pZeewPyfsFEP3bNvEgXbPVDEWWFslXiHFktH84lnBuGMlEwMFpEd4zZB
+OLo1crHTOOzpezVj/a6RzS8baIE7SkkauMhHHLYpH+oePWoINJe/B0nbccpNznNksCfIIXthZEPk
+eT561BXVJ0ygFeHo0V7MKN3XLs9SWwoXa3rUhuDoVXW5v8pLm9FSI9pHMgSoTcwXuV0lwgtub7u6
+g3eL8NeSXHkogaHo25ICzaJ33+VniaZuoRvUNCFqo4Wv8DSmgdnwbUMN1Jech90OLyZH1wq110XX
+g0x/P22XpZH0sAYymv96PMXxOpbGK0yyXD6I2alp5rzy8g7jzVbWV2y709mHtI73aRZRkS25RLVz
+QQA3c9k7U685nVHlGiQnxa1lSNDE+LYzMIRdApPctyPkDxx2dHBHxSzObYkZUvGIe+4HZQM4W7sx
+rJm7M/ooc9WbR45alOxwjrEyiztVhogWglwbfW/kbgEGE+nlbr6gMMUlbJQaKnKM8biBcqoaNRTG
+j3dspJyuE3XWKgT60JeAlNVETJpQTrPZDF9578dxGNoNw7p5KPG2QqgGf9HWtf4tNt481mUBjzFk
+af4UH3hQ8Kr01Gd4F+Mr6OJIBzePvokEg+AOSnRmRecv6oNX10FQacnZeYCeeN0BZ1+awkudSEoL
+LW3tlbqlC93KqxbmjIhTI+oxahpqEiWbrDOVD+H++mm4uP/c9P5pTk82sPEnCiHbjwTl6uq3Kd/W
+4ElmUe9rWL4zW0MsQk4r9yuLjDQ0U9KwW4XkqSM3d5W+Xq43nWVulwZNZ8jV2L/qteGiDVcfFw7X
+pNeyb5HNRv5iX1GRsYJg2o0hC5wUNZaHovmrlhgGNvxZ1tIsEtw8Qm95WzRNGXrkX1/SdZHn4cCU
+zN0mpM3htTXn//6o759j/fs0+UbSrBDoLPfc1OI/ocuJ4vbTOX7Tbv80uu8aeuxLHgBsb2ru84LL
+fEn+MxwSa9injxoaOp5eykuXgaL8dLqu946PZ7zpWcnqnjk1Cyk5Qplczgue01zu1i1HeRYSBn+r
+3ky44Qhy7h8R2lcT9gMeMlwufcKn7y8cm+6sEneJIsrkZrrJpby5rlRk9cFlK8MkuUuPJ4a2CCe0
+1gZW7myfxHfW8xSOgDPKKCAf+eymT2oEzsxl9aVdqtFbNhdG1E9dh1pCmFY4m12cI/nSyWL3KA7t
+HJBbmkRirsELpzRkcyEVHosc4Ud6J0kbxW+e6WkvuKBzPwt9UZMOuAgI0pGELElobicQHLPCVsV3
++/DZG3AIXdvvCXKt/TSBjKrpSI5vTNl8Qbfb9PxjFcD4X6qIzkj8Kbs7T4nPxefCVqyPaZU1WocR
++8pYzAdiuH5x0E1sSF1O3YL9Ru5AwhCdRb+PwCEEzrXjfmksUA3DMOLc8MPQuR9sETILuxENVuhr
+JjlXO4aBqJ9iPOgQNrkHSR8+4ho/2Ey+r1K8PPSFfqd1gf4xQrt0+503+ErOhPyXErqhKOQq9tuj
+tWnXNXNDHhO0ftK8MVtSVLKIitSKNwmT6EnT4f03PkFof5qFjHExEYig5mTNM5wCf9TR8ElNqC2Y
+SkxBhSywMytVDYUsQuFd39OOAE0JkSaQb0sPAhrbvJiiiHXXnPJmb13ZpUEO2m7hLEHLiu+VFE6t
+BAsMFVaIpd7kQ88SGy/89h2atRiKfKUyJVM2htB3fdb8AqI8gZgVxfzZfsBqRlEMIgSgxyDOCwzJ
+syt/ZtXP/irgb2XIX/y3hD3ahwHC0hngasKof9WTJGLxmzg7Ouj+zB3oUdiSe45bw2HGBsz2Q/ap
+93g8U6Q+Ox3nr0wI5ZxVKanTFHfJF3aQumzZgIsvNKU6cGllIu42JQOdln+QtAr5usjV3EOdrrmX
+vY97zNW1q+3WbKrfN+jh1CfBhggbh9EcpgFh7Q1dCIeoOzRtTw7AROFyE1vaDR3xHhmr3E+ZN0N2
+wCoiRK4tOm4vfVn0Yb2070NxM90btqiqRDUXiZafuJUy/JuoQ8beRGG5eTRYX0qRzYWxbnjnO5Bq
+6R76egUPvNwPeuz0A8/E3VWzdg9hms/RafVhphBlQtO7V2T+3qb5u1ZgAJDnlz1ToOuLR4xsDkUz
++ztFGmExBpv26weI7TV7rayLxXgzP3tGWhcYDL2J4Dl0P8+qvZFyGE1fzCGwUoRIZ3qKx1BeXg9k
+K8/5m9chR+dFDsG1Bluyo+ERSXYeoY808OBO6DYIEmpWfySIRk2/7IdiyeSvL+Cvlt/t7YgR+x3i
+5Hy8dq4+cZ0DTkhrsboUvT+A2sc/Qw8Nc9Zr12W5k3kzEut3lAmCTV7zTZGoslhDl8axbj0JeBy9
+y37uf0aIZtWLtonNaunTArvT8UzHCG23jbcMxgysV/jqa35A46Jcz+94+D9dOxk+j4yfFBtsrU2r
+f7WHhKBy/K7ZzG5QY2DCCbxkg9TQjYrmiemM68K87eaF/hlj0mNwuBNooCtVBJZqbnv/CJvu6JYe
+Nc7mSTqQj6QuNU1RYHFTvCZPyEDd48H2uImXdBQOnR4VeBIhOhV4KX7RwSoRpmje6RcqAbhYex5r
+Qt5GUSuKnT/43nPcPXMVlw4j95BZ9NoqtkzGI8jhpquBqdhGnlB+pvH60XQ2787U8NjLNggSYJ29
+uJcuAY/H3jnX/DIYONkh1ZOWgrVoJLAm5BeAklz91azcu9+vCR7m9RVfl+jhMaYikzjlDcGmD/eL
+4izX2GzCt3NnVZeu9rCfDb/02P+mKp2qfqmUUFjCSk5JTdC+tTJRE3nfDomqfmqvxcqTZ2qQ02Iq
+X0K+O0uXwIzax5kc6uYNXctq9hFaozN+uOY59LPtoISEOZp5q+H30pZl9Go/51wDEnvN4QA1cpET
+jsn9cH1WSThIb6hzl1DIGCWaXO8CirrpFPlVgt0BZ7Pz2F2jYIGmDyCfsrHrFRAmBZa7VIek2v1z
+0vNvynxwPOON0rt0KXMnBT7TwWIPXwB6gyafxiHLh4bYc5akdsBQnqPZguCRJ9119ArTZDhyeZvP
+FOsgwi++qMSPIvjMO3V6VfCkrMu6+BXKKzitfK1CPfuFL9pY5Q802IEGuBIsM7yHyoXlyy4XngyK
+g2Jb3uVIFRIqNVkHL7YbNj6D0Qit+GlOFIqknCrQGkwxXmwUnJws1s5ElwH0fVXApm+Yya+pYDLR
++B/+u1lkSjy7geho2wAcmI0R0DC5ehNRowgqTHfDVYbdMIFPIYcrsEaeBXHS1qhSkEFr4NgjYVAR
+xNYIiaQMir1GScXDN9Jm1BT9SorH/vqSr/ILxUoBJrhF8tsKAB2Jjrb61RLSf5LOxiCpWTs4Fjx+
+LX96mWfra0J7fYX2tIL8lVc+ecjqRARuFvHcEWqP9btZIdjjxrZJS6paWi6/11kLvtut2tu5b8Cm
+RJN+9JKlzQ3cnwRQxr3xMerpGLHwwNJSO3/xfUMtcyT+bc856DJSmG5xQUQ5Ve2GTKiO1cx7KmlI
+I/eFLyBSP4S4BsunLqpbB4kYK3+QsK7fpYS7uc+SEDD3ohDIdxmsJHFR8xvcYemyJf8ZbW5WloHy
+EJv0dXhuAXxz62esCrVBWb3PpVHClZalU5YTiFm78xfF5ZhW3x/y/7qYOG4bik6V84YwQ4pXAQGg
+1OBx2NY8VhQCoCWtP/8z9xcpIfskgCLPp9qnaAm1sZuJPVoyRMwDYIxjxmbMxaxI8Oetdo9Y8YFr
+mksueDO6ottPodXxHbtT4tK8SzPImVlNsQ84QJ1ZoatOnrAA/AWxzG2P/3uO+ghklr9BBTqzXq60
+az/K4TZa7Tn7XDZlyXz7RMSL638jY1kJJrprzFigcLdOoF5/+XDG1woaIGEjcRtvKX/auLP5xIsC
+HktwnlYb7/oPGzW3BDyzD1JATxE2NqQ4SqRBfzws9+cIMuZm9zUdidceYjTRjC3z4+hSD48X4LNC
+XXHjw/+Q+Td3+Y+HErz6bbxV21449BSSAOGAC0lnWuKM0qY3vyEGxwAYuUGpUu0yrwFH0l727fp8
+R6sUj2UYu9pVQltepQVpTMhnE2Ij6QQjuTpBJGDnmj5LXgYdLW5Nphbg25J5k3X2BWhbWnACImKm
+9MapsOL8QzBrXEldcVbiw7veR5taISofRyJiHqULBqrCpTrflgqM9Fe0R3nTFdocO6kscrz7sTJk
+hIEWSNPEIWoGSPMv1j7nYmNcW57kTXGEcLt/g59B2yKKo3EIhWXTCJJ6+GuiF4+lduBr1wEWdf8U
+5YAOEAmE/rMaeCTyr1l3Qu0vtfM15SoM1sQyx1/WpqscH8eZ4bk7AM98InH6gbr2StMzfFGeRbpa
+lJcOKOajB/gOlL8fCdfw58KVLybOeNdwKnWjrxXNd+QywdZOJt3e5z83/YNO7wAbYg+mlO2ZqF52
+Kdtxo22d9V3nusLqxmFYKA46xLsFVkUAWfkHhASWW/1fUvafJBAvqx7jLZKbnc2pvFmTlFCTiOiK
+e1H3MavY6o0IMa4cbGmjaZE/1giHmD3/s8EHOBYYpaTNUQfMDuoll+RgEpBSexjZ6nUObAh+0Evm
+vXaDPO6e64MO7/iuiHxwZB5kqT5QK/RwMq+zLeSJnydj/NOMsrsnsuxBR7g9cIw3MWi3eK3aY4co
+U0KirOcj9g3Vw3suN8Ew9EtYGJRNzBUAyUjX3oNnWPIWOKY7uZLhfZseAihK4uCKNiWnkG61/CKs
+IaoVvv8IfwlAbr3D7Siy+9cSg5LGSuhzAgyzPtlgKm6ymneviOhWGCIJTMrnw6N+VUB7vbpDOt+I
+URSZ2AhFfuyQL5zoFoBffywWSkFivyx4Fmk8kxnmQB2QEoC/yNDN92eh9p1qF7LCry6REIFKHWI1
+hjv8tIbLFTy7VGqkhxJWgpNrQPBz2pbzRWdA/dD3AHdwag6aatJ9hn4cbSSwLh6IfPiUsgtVd+ce
+u7NEmkt5UBgqxzbhr6TrbizcRgdusf5RU+cseycb+7T/RJxjC0mDQXld+eHvpw/jTYTWInWpU72v
+EsAPCWQil0p3gmqNjH3JnRJMie4VHz5GucOUJvQjFUtQyoE1iIuT8eGTx7vX4XSkrQHlN7jQa16/
+hGwz7+iW+vrQkvyX5EMEV/K9XS4qNZsXMjaRscMx6Q6bm0VOo5f/+9RWCtIIQut+LCA3QMuS+Zk7
+EmKlvfwixhEYvm84A8jmHbDOTxaF/MQfiNIb1GI/ygYyz0AS5oXk5/iu/pTqxGx2evomFclHUNjC
+MstnE+EH4CMWMEyDCK1Q5ilUUwKzS0oMY/p9Q091IoEbMHcx3DnJbnhKZdaNUgVIyvO/ISZ8yptx
+5A8+1PqQlBQdHa3gEN0iTz+c4dyb5ic4FM3vIpGDiG77PU4n4ZIPSmyKuPYXrvtaRSf39qQwtnAW
+MRh/WlEdY+MD1xjzS4fKcUwzUVjkXrfVkZ1Cd9dGujW6xTWvkJxWHR54WanHvr4hTo4A4IhaA01i
+oMtkg9Vqp3Z4f8sZ/UfXZoROo81VSOfDVepYVwEyp2GO/dU6thzzFHnvOlpmuMk7NaQJX9Q7Ahhp
+rjQ0mkbqVSG9vcNJ9Se8wfT0YT+8wClXuJxg4XCvLIRRicgZkvdHSUvLUGanw6DEVhA75u8hdkN6
+wDG99+wa+2ioV3KXkc/LTJByDYs6O6P0qWj+4te45eKNTq+seIQyqGleV676j51dbtWgpiS6z+oN
+o2VUx/5YAfjwf0a5gOeF46CelogVk3CQK/icBkkCow7J26JEC1uWcLWcOZ/VB9g7KW84lkvo81/Z
+dyvlB9qWxQFxQ6rZMm0qWW4mRCESJcPZWZ6FHO8/p9VsIymGkUvEmDOrnI7V+okKVxnIO38ssphJ
+8XKbpMAySAcnbpyUVIGytGKKXOXVFB/EOauG8Yodc3w1I5EIClDKq5u386Dpgy03UOD2jYr+V1QX
+lvbZsCRved+xwwQOZOIu4bxeaBard28cIrxfj45tsFzeM0ulfpK7wVQahugvziRn1WCsUMxORgjm
+BWRXEnx5CVf6cVIjBzZ7p4ID+SHbVx1WrGFg5960CUlbUuKwpcMBV+W2YSWwwJ9Zs2ltwm1tbDdJ
+9n7Bg0sT5IUgYAkbcaDv4FsWlGPrMaCUIAD/9Z6mIWtfb/RIm7oYNx4qoEN2k99bphED19YBRV9A
+zJWRAELvQjb9rM06CHMc8f6KYFcve6Xr+tcVy7VeMbWY2xqeMejxmswADD1SEPLPeYZyKb73SOMi
+VPexadp/frAyYlrkrY6fCKx3g1hucrpWxO6uNq3eZSwnGs6NICIfoRUkiGXomn5BUMsOwtM9MLlS
+W+wAz9XKIFemtmsFZyJ8BPUo2BlsAd6z1V8rbykFPyUrFYAK6+BG3geHnHCJOPFx5ME/daK7QccX
+gB1eQau0HQCS3fF6+xwLF7Ya41ZHmBw71juaq8EWqZdt32SMZ+81mLsdLZ1nfzOhfYZsLqrtFJFB
+cgkewdnSDpd3RTXoTx8K9211QQnRt5YbeBt2Rxy5V0g1orsMM6Mi51vlyGFJy8wsGPC1hxFMlbEZ
+ARDyTJRBxnaKfxNcI5jecAlnz4TC+CTtK2zI/HgCRWBsprjyTbOoR2p+fIHz7j0+vyZIGww9o99K
+PbH7K9g4h1IiyKty2rX9/y6YY3pZZF5lNuLY49CGHwP9ata5IGp9oOHud54D+E08oU8LIR9MzV4B
+B+f+5j6Ib1Otb7q+qZmxoknkVRhTKyOUcMwRBnZU9RWtXlGtVzzI76T083Dd2QcWuHY0W3OCLqlo
+TEyiWKLI6IZ3It7sTT0hlfiN6dsnuAPVYULb7ULJ4ylKveqgRPcuaw9k9ChuVnouKk5pOH+TXgTG
+tLnbuInoVvHMEFSF/DIIntrf8K+/QMLU3nCt8bE465pucwlQru6sBd860raHvwzE0wXIv/qyKnzf
+bsH5HD94PiP05wkViOc3sG8D4FJ7hYbdHpdclTT7wXOuLZg+N+OXM+RPhKH4PeFv6HvkZBhBGb1w
+TmXJbQqjHhaxkXR3sycm23ADhnq9imQqMgMlbBC3xAewA4Yreyf4z/VORokzzKtt5LV/Qey2QQ87
+qwssm9N+gNLhVdf7QORECglftbY43vHBZG/uaHHM3V2FR87UxDtoVvwLckL+HaOcI3AjIjuUoVmd
+w0RQldK0T6qUf3UilGD7oBl32sgK7cOZumxOViaYD/tSE+eLp1PCQON1cWkrBzQd1MxOT0j015O6
+EsG20/NpiXWB5iSKtuGDXZ22cVyhnjxOVL1fp4x1XlWgb7m0GTKyLSsdgATa5fcDMPfiKRPMgOLx
+WD0Fy/pq5JBsVaB5RWT1bVLNpE6EEPshb55MDnI0zat/8OSH229HCIwQP5uIyPIyAHiYAH8FqsxF
+D+Af/1D6DABfew0I0cCQn1lsePoCMxtEBkk38bnPwE5u997owctX2WtFSTbO1SODSlRzplJ8T47B
+IWzjt5FRmFBpi5/krrj5t1WECM6ujMbF0rHMLVU9X2q6fO/SHl0xkfq0sRRj9Ibpqc606FNJnF0a
+yjabwnciV/sm+NCBSPqW4IkmXg+mMqIrfzB+JDAg4TWRevofrTnNWccsvHE6aW2U3kS16x1hSA0l
+fNDLzPSz7OMXmbs4WkPjbC/PlzVuk9H92jm5n6efm+sUVm+s4KMTfx01x0Zk22S3WuGwkJQ1S6nq
+jQ7i/EA3WEzrroJT44cLaFh3p8sis5GoWkgLMavMUxQk3MC5tc+v6HDudS5yLgLwe6srMR0MbwmT
+4s3jw/ZiuTGOg/DiH1p0QpJ8e/35hxm1UgMja7iCbbWMzGeJ2YATacMKiie/kjhldy8llGkKXzKy
+JfPD02b5xv1DkpXHO73+f0Nh54rlXedXT4LsDnhd4fzfheOitlZ2jKo0CQwGLSi/29+cBpDBtplD
+EozSphoccZfcVPnQM+KgrN3WHCVBvgxgOPaIbNUpbtAwyI1++N6b6lGidemTaGj0TBRt8jFP8wS7
+0Fb3Pt1VoXOk1ZbILnzWn40hazjakw7LT7//lm3JVWCzFDv9Esery7JsyUGfWwSWrGZdkLRerOBd
+RpwqBDb8Ie7deq3sLtgvbEhYpzwg1bdXaWEJkZLlDUy26G3Z4nAzomb0zn3QFPBi3DGhTqdR/iME
+gPmdcRhnSVitnnR00sgHIf47znzXMN3hBD6J62wD+gMCtIto2ObtlLNvNV+tkjR4skJ9XBv6/n8y
+5LDg0iW1np3+7200jHK+O0TMv9T8+mN2lGxHIaO077Q8iRwe54TG+taayC8vM2L9erAESjNYI64v
+29QZ1SA69T+DS/dL8hfSsEptQ0j+zCEp6XXqQrJcFejEaTEIho1+b3eFk6R6+7GRX33Xc6d7yjXr
+eBHq7wlVPiG4J4j5LUO6Xb7krym2gEJ7yfoob2TZj4lFve098ri3LeHNDkOnO522OiQ4I59Dgb47
+BZbQuG1S7akDLkyKL3m6IgdBeYwTB4V1PVDUxKkxDvx+FAGTjwvWpncm1mCdUcCFsfHDb2f3OaX2
+n5zAGoO3dajbMCTfXpgTeSNuz4N27kr9bV7n7VXtpLFyYF9iM1NETYqTZ0tBME1MvBLmyr2kzFBh
+Xx/KboRL9SvYpgRgopCrevIvi87xd+gIUpbTHfyiDVQaHzzHvKDk7Vl7VCZppgnuhwmaOaBTHwZ3
+l6PHvz8nn9LGKIFxmrpPaCI90r3O8CxXGBYeQRDoylCbC45mhSc/9Uh1W4qtDYyD8bngwdOxApMa
+/i2nCWGnjPko0DKBkb842hLeD7tCwFZrIyC7qWoCNBYysM2arcMVug3M9D/xmXX8YU0EzZnHjwzF
+DKqlYXMc+5UzmaFBWe2sTJZ6P4XqXHn2jbe3eWw/sFl/yqbrNbrWGRGZd6fn0MHRbDsBKU+2XpUz
+PT0c4ahONXtIbCkNArEyt9aHGx3D4MQPXY1BlyZwPihQEwvwbR30q6EyTkk7qYiuRw1TMw0MBhnV
+1ODaNm1+tfhi42TXg1EQn58vJWPMqV3OWOzlQ2Zis6mThcrXa6KsnjrLq582aA9XoF0z77/mTcWB
+2A2AAytBle2gLjrbGbQ5f21Fq6rhKrL4HTgqNLBl3SF1gzobxnbyWUON9+Da2542DJm8FYxwcTTX
+5S7CGiEDK8y7WhxOV8mQfZHgLQIydKcEiJ5mkHymF7hj2RgKPkcz92ktMLJlGxiW48iIiyQbsFMT
+FfABmTfSUMcPqhMW6PNogrnkmvFePAzKAzd/SnrRvj77ZC+DCnKw7NsDOwAmsoCcGbVV3LvLt02c
+PqFTjCSoIKI9DuAel6LuNFS+0aTK+SCN2BrbBMbxI41oKc3gVu5KAs9AOiz3eHscKKyG+H7GIWLl
+mPeZouz8jPZ3VKIrRkaJHqz0eUlOza9R7UbgV9pFtiwSVFfvFA+7aAJQS8Pys0y8a79OMoDVfNoo
+sZSshZ6uQ9g+XkSUdRNbPYnboeeu30Eb/gc5aGPUkEi97/8EmTvhqMMT2c+D6O7ynLaUNOjDWsJE
+Vl4HYaGY/Pp6BVRde7ja26C9d59nesp3p7koTooeQXpIMwITKM1AzJwaryQ+P4kaBJlo33Rjpqwk
+u9D/EdouhBiS0QuiH9SkyLBib3oPwibgWS8UfQJ1GZODM1rSQtBnMi2r8l0QQCMe0Kfw+rWOuPxN
+L5GsYXasKalTwGtxEJbQvlzNUCW0QfzYop3TWWS+7yGzVgu/4AcCgdQJ2lu4DiudCGa0ce59z0aA
+G0UbIFn/tieUx1BzAESLOxlGH2qaIUfh9p0jNmw/cMmnN3MxEtv9Cb2liVGgOqhGZK4WaMl2FWOt
+1a3FAqMbcgJT/d2ld3cCGrI5J8CtGFcc/zA0epx/FGC0he/xDBHUUzxGMuKuGUX+N22cTxDeIOhG
+cF/wAaGl5d6tYVhlF6slRYLmYVWJ1vgq4dwuyPUcOn+hIVTarcS8joUDbpGdyeDttYBsUpCGuz9q
+RpQdYJND50k/qMxQyE7hPmNXGPtslkL7na7j9sJJPMeYwTbFGLAwGatOR+4lKaTsL1D5OJN74RiD
+rEJiVdxS4vyBjmkoq9ULB+Oq53B39Iqf4CQqxTED6p8RP8l5H/H6QiCnDvVQfBKf3XHV+tsHKSU3
+8ohBRJkqMD6NGFBv5h8cXxnzQccdMQosk+t+FPucGRgbL4nqP+gdSa725sp0sn2bgtrnlpNZ61YF
+eq0Kh0xFp9b57h65DC7ncbwZckXj1jbWoXc5x7UntrvBiinROVvryUeqfNggGkRd85ZcaR1IpKjv
+hC0kBvNtoLxZJacy5Yc0T24cga0RJk8rciMG7Spz5fBFZfFJSQUuuLZTQdlZypmCo1EmOgg0/YLj
+e6/ZkiBtC/emC5LG10+KBgdLWpyWOSvE7hMTqM/TFpwU37L2EHRkJP6p/0gClFF1p8M6hEGdFHzI
+oR7khF9avBlKEthOBGCN1LflQ+12N+DfjzMspWYCvxjYVLGY5jzSDPHpaWOOFW3MZaJJYZjoG8Je
+LK1vLAkAUU1K4+XAgQnm7DDjydQ73sffV14VMAnrmoHphQhWyYRPuaDMXf9sRgyDJs2KeY5fhVQP
+kmTz6GEWFepjDfqsZSms71zwmNIQyDT9Rms29En4X7eystKp1ViZXwHPZsCbwUAQZqmk8Y0VJdJS
+58GR1ppSPbaILC4xfGs7Jklo2UH+AxW3/zvCoxtp4iVy7KhTmTagEwDdDaJtiaHHNSXs4FdfsIGp
+36DqQVMjkvOj2lu+vBKeo44cXwsjiA0CkrK4k9lTlH/XnXQs05lEEOadNofdR9qaBrpwVaAk4Yug
+I8ys5Evb8egiOZOMB32PPNrMOUPRJoIny2iG7AStsGX+k+hzhQVVuECHohpmBcDqyoSsl4gdckdf
+NyJc89TdsEivzci1i09Ao+NLV3f9LZcn1JWa0UbZXrKd1mCr2lXPdEkPL8qhus+ug8sRpw+Gdt5e
+dKViFTxTxnZO13xsS473rn5owjCnTOzs25qWCxL8fLB4frOxTZNQfW6vRVwUkEInCvRhXrx/jSYd
+0MJ21jSZRVdcGGn5igv55q+0jK0DNZbEB0EEsTohvO9aZeJ56gWKKlb99o2TfNWCjuY4rcXMOxGX
+/gZPRwsW4AZjm9RwkHA5hJpIFycfF5VGr/y0Ug2xr5+szsXejtKhDlKiGwV3GppkjhfA+LF7C/iu
+zxl7921yd8Z8mhCYXGqsxx/u6vi+3oIemETunkgaT+vKshpSWxgiUURO1DgItne2Jo9ECKOP77St
+DxCgo9StDmCf3Rxje+0YuWluAaWny6mJ29TBfVDVgZds5uAx5Vv5f22G7cpnqrbvT9dcruuntMsT
+PxfpegiTU5Azp666nEY4f3RVIlfEF20ZfPt1JgEe6WxV3k9V1kpakpVqfhdjRDq796UbAK9Z+/29
+UBppPrKxYcG0T1hpzd/YIw2j+Hcjd4NjRhqskICnCCwqP6Wn5AmsQNoDRlPkrfrkA2+AULMUuB/c
+1trsDLdcKrdR6Aq6dJJNDBwWftEECOFgb3EpEawbP5WFlbNYPcBEZrj7CWzZHTc5Wov6PufJJcRD
+ESPSiCRssMdNxE/amctWBw9qi/cqnkRBddUTH0659GEyAoQqyHtB27F19WmQ/GyuWiQ81+e80+xW
+wo3977LUHrgsbwp2Mk4xA6ootmWRx0CqPzg7ZXmo1qavAmuqwBXG5sWPVR3v3MwKB1juJk/yHE+i
+g8jmwPV1PS9++yK1Dn7tARmtApvFKg8Dh9e1QXocEVosqTqIHhXI7A6hyhgXQMVH/q5d0TDhIeff
+axs+fjCAdtkFYkc93AYeSZwsXQgBVaAuJybKXY5BlBBTY+iRbpe/lik6SbZLuWx8VaqSFPO/dUe5
+k7vxNMpnjI+4FCQSFupuRxHyzyHih/1LsSbv373FGaM7r8elPtVasVURDCMyBO6s+IJwrq37m3lN
+n4dP2VuLIzWuPsOq1v0BjVhm/vm+6Bs2D8ErxdAuxq/7CucUgGquMLLRl2DcJUQaMSYeMmkuiOp8
+U+lqiad2o9nTIP/jz+vrWY7XGI7wzYmdL0h23mq7gxckbGdjqp/k6Fea01/CqXml84zjdhfWTR0u
+1MnWdh71J6Knu5GuHFHbjgOd4tXd7j8IEJrEFGerWsPR6nMbJRIl03xtOcDwML+BcVtU1rGK65r6
+rbWx0M8YzdbnmM+t9M6ShkFK97ney0yOm3CQIMm6DB5a4RCtcCskhJP+a3EAcuLd5mw7ICazze+b
+bFD3nuXOQoOb8aCUsn0CaJ4k5zZEJYn1eW8QPld1yEgHZ6469OqgyEmkCTqeZ93zl9vMxz0pyBUV
+2KRwWzCnStal42P588TSo+j4XrAox2a7svhdFnXpUZEzSW2Jydt2hxe26iMHFhGDCiXeP4bLQ7Y0
+c7yCKFqvA7pBJI18M224xQeVt8nGAZJnmigkE2sM16ZsuHEX0ALm9YXloyoA1Jfykie1ROXF1Xc2
+rg0x5o+yph4g671jkvkQFGaLOIyPm7Y1CRDOboXS0TXgEqQLKfoDoG+TnrJcb8FePhU8xfuVbqNZ
+00shkSIyn2JXIKHkKE1D8L3imO8GZYBd/fVZ1CNJkMPrz65o6W8wMgofiXNfCJ7GxHRajzJT/9jj
+Y/dICXrX/YNgL4sMnFBmMBdkzJY8xlvceMroJZCpr9AI67oGRArPJvCFxlLUA8lVuGuKUTLkjqHQ
+/d6ypEvsAlVUcF/OQUJEnTde+KCZNUmlx3iB+lYmi/k9aZXblaB86s8g8tIzqHn/Hm/NVJpxORYc
+Lk3sgnFOM38KyYj3WfQMOTVZfMI8cjYY4sGSyU2UpizGnn4X4iEOC4x3NoMprHt50c4iyrw997U9
+gzgj1ycsYN+euksbzZ2P0lUw0TqgrM7KScM5ccI9evKBOwT8bnmdlOcSiM0bH6hhDfUl3b718IfR
+8/sT7L9FuTxCykLFwKeUwkFsdLbUKQfbfRDSAqmsWEb4y6fyIEQrVzwZZ5UKibtYqqzL7hEm6+fi
+HdpLnNjzpn3V8TNhDjBTEtL7Q9aQOcJWQl7Y4MHXkxp3fHxsWjxc7r6Wr/9NizuvS5CHWyse70O9
+qe88jsJUXx+CybDsM5RJXg44gnRCz8NfpeVd0i046jW86qtjHzQl8VPKjagnQkgU6HxHkAzVSdnI
+zwN9dhTATVlLIly7s7HLXAC+AITV1Of06KsBn5nav48GrIPBkiUa8i3ZPCLOLoUBacBHjf8xcawg
+rl5KK10C3XFhtQqic8UGqNforhfjr4bY1PAfSqx8IB0ZKvCi5aGcNaO+o2l4kz10PgL6MvgQu/Sx
+EQjh+2WC+8ebIUpl//E2WUP/zuObq8B5KRQlgdT/33SAwQWfrrzsqqSh3gVWyz3T1HcgjInTPGN1
+BmPPMamYuoX/MmIoDmJ/oYOyVXUnCoQxsJlzH0ekXp/t5fwUTahZovOcPsLopT+8OrnvUbJB0O/1
+l5Cp/qVmHJEZGEbd4NszwZTC5wrwJc+kjGXo35kKGX3iHHvH1JvUtsIxjClqA4sCWt8zZGWyZ/+h
+HTPeuJuBLDF1Hk0NdKxvzgHQOuHoKnY3ioCTGJyWSvIAC2rFa/QYO6lbcL4CpqnvQ41bV7ThVJLY
+wfaokf8njk5jechn2DyD3U/FYOj2BCIyYJ4wpz3iBxSffb0BQZVHaIpyqBatb7aX3LOLgLJEez3b
+/BLtdH2v03XPDJnTsf1T8atygeNPrwCHldl19QvrksyiX4XCf8e0BR5Qam6aWyTDij31rhfMRdNw
+NdP2g7SoZ74wy3We+xfgpK+H9V+92MvsSioe6CksXSF2FdFb96nWCBg7ds140QP8DA1vcu0X
 `pragma protect end_protected
 module FifoTfe6Bytes (
   Data,

File diff ditekan karena terlalu besar
+ 227 - 107
src/src/WrapFifoChain/FifoTfe6Bytes/temp/FIFOHS/FifoTfe6Bytes_syn.rpt.html


+ 3 - 3
src/src/WrapFifoChain/FifoTfe6Bytes/temp/FIFOHS/FifoTfe6Bytes_syn_resource.html

@@ -31,9 +31,9 @@ table td.label { width: 20%; white-space: nowrap; min-width: 20px; background-co
 </tr>
 <tr>
 <td class="label">FifoTfe6Bytes (C:/Gowin/Gowin_V1.9.9.03_x64/IDE/ipcore/FIFO_HS/data/fifo_hs_top.v)</td>
-<td align = "center">19</td>
-<td align = "center">-</td>
-<td align = "center">18</td>
+<td align = "center">43</td>
+<td align = "center">5</td>
+<td align = "center">40</td>
 <td align = "center">-</td>
 <td align = "center">2</td>
 <td align = "center">-</td>

+ 1 - 1
src/src/WrapFifoChain/FifoTfe6Bytes/temp/FIFOHS/FifoTfe6Bytes_syn_rsc.xml

@@ -1,2 +1,2 @@
 <?xml version="1.0" encoding="UTF-8"?>
-<Module name="FifoTfe6Bytes" Register="19" Lut="18" Bsram="2" T_Register="19(19)" T_Lut="18(18)" T_Bsram="2(2)"/>
+<Module name="FifoTfe6Bytes" Register="43" Alu="5" Lut="40" Bsram="2" T_Register="43(43)" T_Alu="5(5)" T_Lut="40(40)" T_Bsram="2(2)"/>

+ 1 - 1
src/src/WrapFifoChain/FifoTfe6Bytes/temp/FIFOHS/FifoTfe6Bytes_tmp.v

@@ -4,7 +4,7 @@
 //Tool Version: V1.9.9.03 (64-bit)
 //Part Number: GW1N-UV9QN88C6/I5
 //Device: GW1N-9
-//Created Time: Mon Nov 25 11:45:23 2024
+//Created Time: Fri Dec 27 14:45:08 2024
 
 //Change the instance name and port connections to the signal names
 //--------Copy here to design--------

+ 4 - 4
src/src/WrapFifoChain/FifoTfe6Bytes/temp/FIFOHS/fifo_parameter.v

@@ -1,6 +1,6 @@
-parameter WDEPTH = 2;
-parameter ASIZE = 1;
+parameter WDEPTH = 32;
+parameter ASIZE = 5;
 parameter WDSIZE = 48;
-parameter RDEPTH = 2;
-parameter RASIZE = 1;
+parameter RDEPTH = 32;
+parameter RASIZE = 5;
 parameter RDSIZE = 48;

+ 2 - 2
src/src/WrapFifoChain/FifoTfe7Bytes/FifoTfe7Bytes.ipc

@@ -25,11 +25,11 @@ OutputRegistersSelected=false
 REG=false
 ReadDataNum=false
 ReadDataWidth=56
-ReadDepth=2
+ReadDepth=32
 ResetSynchronization=true
 SSRAM=false
 StandardFIFO=false
 Synthesis_tool=GowinSynthesis
 WriteDataNum=false
 WriteDataWidth=56
-WriteDepth=2
+WriteDepth=32

+ 274 - 132
src/src/WrapFifoChain/FifoTfe7Bytes/FifoTfe7Bytes.v

@@ -1,7 +1,7 @@
 //
 //Written by GowinSynthesis
 //Tool Version "V1.9.9.03 (64-bit)"
-//Mon Nov 25 11:42:49 2024
+//Fri Dec 27 14:45:32 2024
 
 //Source file index table:
 //file0 "\C:/Gowin/Gowin_V1.9.9.03_x64/IDE/ipcore/FIFO_HS/data/fifo_hs.v"
@@ -17,142 +17,284 @@
 `pragma protect encoding=(enctype="base64", line_length=76, bytes=256)
 `pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
 `pragma protect key_block
-r1TsxRyz+jtNe/906f4le5iFC+jH+/4g9JNQzgUBMd23xZMsyiZzJkdvLTif9iKLBaJ3BYKiGdzC
-X2PkbWeqQd7Upz8XYSh52Z2wWb3THx+LX5KT0Qiqm2o/zyLqDh82MfVKJC+C14eQPM8mReGJiIPm
-nk7MKFwtrLq28qL+SHqP4pjfAXeV2MesOiUhoORw33Gqkzjfb1iEzwyb9ILBORB7cv5/JzjKnbj1
-ouFtCkWU91+sWvru6W7CZUavQtsDwKjgv12variUqpWD0JAV9RGf7O4/RPb6iBXiS+BUno26z55/
-ByxOM+vj5gLABIgxBUkfu2HIgQPc/ABFQK6Ong==
+R1Pc2NRlfpL6JBzdUNvzAzo0dYA5E0IZATv5Bgc3qFYE6q5hfN/sZ/qYfRk0xpYFSPj1xj4OGi4R
+fj2QNCkb8Xk/wC+d6XhARQS5k9ABkdym96dzU8Ne7GL9cX0lXpleKKa9TBbDQTInwh89kuc7BUm5
+cCA/6X4jgH+uwakTf3FDmkWn8R+tfzXRYjzDg1jLw+rj66Zsw+P8Hlk7wSxJYgEoRwqQddJSjQv/
+R0hEuf7Z7FTJ4PAXczYOcHKhhOcQ4ANkhVHnym4Kvsde/Pvrp4I72046odvHRMosRof4H48WVAFP
+LFb7xwY66OQMj899BNdFZ21k6f3G+MLeiKJzFQ==
 
-`pragma protect encoding=(enctype="base64", line_length=76, bytes=7104)
+`pragma protect encoding=(enctype="base64", line_length=76, bytes=15184)
 `pragma protect data_keyowner="default-ip-vendor"
 `pragma protect data_keyname="default-ip-key"
 `pragma protect data_method="aes128-cfb"
 `pragma protect data_block
-RI0JAIuK3Gb3qJokEKEjbONNDlFb6ylRkMYC5X1/ucqyv45M+/UzhF2LDfI4pfMonPmEnVeY22Jx
-EkWQ+FZhyltQtjH52auPR1SZ6CUVus2uJ0KS/mCiBue1RR1rtct9DpAzSK916sSWO1oLEbB5/9MP
-HJc8GezSe2PVeQDs5o0Dj+e43j0jetxs0ZO5NrrHJAyYYK52eFC89X0DmK6RmPIaWmNwYNTewn9E
-nT/jh49tb89OHB2f3pluaAm740ataJk2gVeod5zC2FkQrle6rOdu25vqvyFkpy3Tlna8pZAD78Bk
-psWhn8wVi0juumhIUUlZjKOP9Dos2RiNziNyTboDV9ScXzDzCL19qw0U66tGBFNpLW6JbJ3DFAQF
-5kGSaHIu41nRov1KDWniGcen2rY6qj63Ss3Lf47XzDPXO+qcPOEgWznZ70zL+kY28SSVOsAe4Vg4
-s+bHWiM0xWVwf45FmXnXOCO+b3iuavnVqXQq35V2tez5LsenztekjnWMUWuCJgSHC/dgSR4LPhun
-cVXf4B3y4BtI3Ig09AnSTsdFj/5JuE68EeH1UctzuNpyey35vqsR7PZeS614mKA6Vd4kLFlZ+uoH
-qpx42QkmGSWXiU5lYpAxg0k/1uC7EGHJCF4zQg1fZ/8ZvFUGiSixzUyQ1UrpxCFN2kU92a3xhcDq
-8S2qN76Aa3bETNwRX9x8jpmaiCs33DdRWlezcv55/z7Ov5/qjVWhflxYaQq7zDeHKXXZt8275Il3
-PlYM3c6SK5heF6zae8bEEG/LSWG30dBNayJtQiHK0PtM20muCwqgn8OQyUc81PuztOwRHt9e9uRx
-8Jxg0YU4vPGOv574k/VtJjpNv+m4P+5exGt7Xj59q2mYgEv2nKZmbymNf4qYydV4dhEh4+8yb4+p
-vHxCurZNXC/bdVQ2jnzqvLIdo3WwQ/jq4wErRfehjp4kLnZ8gh6gITp2doCsS0+vI0cIqOSB+8C7
-Ywn40LYQJ0jhC22eDUWWGR2lkTJI8QNpAAQ93oxurvm1B3oMdh243LnSPnQeRwA+CS71RJGKGdY1
-bq1j/FqUO63SA36R/V8YVRgV2VCa3ZdbhHkgLXlC+pMD1t0zsHqejYxi/i+GADaeu3xjfNifspAE
-V+aWWlMPmlDe3TBqQgyRGDP211QNBu7zvMOzEyvHaP0/Rdj/A/SWyBgGO0Vqar0Od5Vf7jmpK8SF
-7wcEn6dP+uWKyJz55GMV4QGIAW473sMcdJGR+OsnA550IYnU7bImJFUOF6k7E9+vXrUykiODJAuN
-KqojV3C+rnV6PZyWUiflSNEI4YLZVFyldxL/wbrSvjK5e/xQ9RhxVBTCVp5sDzYDAerPvK77b1Ga
-4nRXd+R+i4KaDhXOwaWEpq6vL8VxH6VKPRDUQW+t6Z0HZHch5MttansHeUh2uq3fAL7xWbFZMKrD
-CQEMhWThLaZdH44MCx0rq++89AvcRU1CHOBewubY9ErhKrNbm+bjusI+KKrUT6OewiqJ50EKepbA
-7FLxsNSPCQWUgChJ490UjDXZB09mJaR0DrGwuy5MhseWd5ld75n9yVQDYMKQPLuZ9V2oSKrpl5PG
-FgM2g8UPuSCawHWLrhkKV9iLtC4cDfKWSEK5ocAbkETO24WyLHHMdcEI8YobY0wWH0hBmgVHtj1C
-08uXAnfJT60x9HWIbC2APLQUvGGlTf/MnDBivzOflyUdQxuKXUrnACVuDLmFZtq7/Qn/AlmQKFtI
-EmldMIyDIJTW97GBwwUKbMnqOXBjQiuZ9GuSkXP3h9j03b92YAMJVoWXrnwn2GGuMQv84hutlBZw
-prs3u4AAsab0YP+fp5oFcOPB7YXOx1wOYR6vKnecpPWb2UtX8Ib2OCylGkjjuyLsFbJtRSbavT23
-GlwwLRfTypPdK8N8w1spVcaYr8LbvsxkZtIHEQ4g2mXItv8xsKGcKW9W7rKlWRH3q+KyhOPhikvU
-GMejJpBs1fVtQBWGRODVe5csU94LBddjN8Tr3B1kB0SIQ47UuSrsOnC6Oq3ukCc9+uyxxUQePzqW
-ENVkn15Vm2UbDYcI8KdjUXlKMZSLrlh95aECxwWtWv6gN4uXe+hE4Dg5ZH5M+DhM+2zo10qhn6js
-yst4rSSo0sC07aXbUv8gWCOqwDoDGV8gKCdqUaUGfonk6JyVS31vkE2SnEPPxgy/V0EE0cOZ4dvE
-P0ikfaaXwgdlfi9BgqewngaNXCeu67s+/Stl4Tya++BPJqVB4E3AssFRTbjtajjAf1TwNaJZoxw7
-vOCgNBVYZlpeolEmIPnZIVbB9NuJP0Ln6Lx2mWRNsLDdlJSrprUGjyLzaIsu/cMEzUMI9MA7pdZC
-kmsnpv+KE/kbDBFkPacAEF6SmDJvUMiviz+zYpFkATtew4UDVPdMl9LDtEuO0KurvG4HKiL5w1Iz
-FoHglTYsGdwB6t1I/i/XMJCRgRxuU/GmpE4LgAH3oIQ02WT/HTr3XvQ8e4B7dtk7VNJg1uUx6tf5
-tNR86Mz9mofuV7+C9ncUMaddrSdcSsstCaKYROwYMSrZPQ+50TWMtMpNOIUZv5QKp63Kq2JbQLQt
-7uLIhdwK60qP1HhqGYjJOc9lpLpp9vvJd6xegdE8Are5xgD+aKRVdWeu8f4gstf9kNJyeDvsIYzQ
-hSzndlihGg+QIzEX5sRoAutBRjlDps9fZh/kdCh7fFC73psYcmgZvG0XiCC8XdpaU482f7k8L5Z8
-5cDZNYFxEbqK69xjdlAWHzGXxWpuY7Qmgys39JAmHb9OYb24C3patPU4vt2JjJGM6ToJ3t5mmmNq
-HbS7zc5+lV35KkyhAE1O7zxZqZfrtFIPFds88wJ092U5Y1OGMRT4BYFXf0e6331rjpbHWenVQ3Lk
-ABF9NsiFQlO6b2cflFlk6296KOT4A0DFCc+vlJXCv7SZczyiu135PLiizHFu2l0UGb1c6Ks6CvrS
-YCsqSRHlF4+Pd8yeXRPZaO9ZVzD4AzY+sKZC+MO9nmE7k5dDaUoR5JXfhkYFCPy9JlUp4i8FmzUs
-7GJPbbitXYCSfH1EVnYfY389I+V50UPSrh0Dz5teNsc/rd9qgL4pxrldRntWEzn8Zv9NT/kI4ZqB
-bXn1vhLv6dbn4tzduACSBpYxgTQZdaM79wZyjwBTsG4J639ebsen8875x1mxKUFlWxqM/c9WZkwq
-oJnHuXTHbyjoQiwuJk0psmv+deZvgP+ewUgizH2T0RaioQlb/8yXDsJOUHF6U3H8nmudErwU6AFO
-CjYhGHUaqdw9pebOgIl6jswyzlb0P7Ppw7ksrhuwpJu7Q6iLQ/ePOs3BxC5bG+6/a+0hV8jkvtce
-ftlN+j6FbPkWoV8O3728FMixlXmKRwgN37mZk69qrku1NOlFBY3VjJT2c10teXbnWgXC9cj9QWPT
-i/OwiXZXnKTWYAEXBzOj4Te1jSBPSpX660Z+pdR0APg6FCjs/K+Et6U2YzsX8y9UxIPK9gB7JsnQ
-N6d44jd9hr6XPkd7oalUNybfGudU+prOl1batU0vpU1ag3d39eSEM56PrXJcpxE6WuKlvabGtDpa
-YzBHebQ0zQbyuK6+a0oLY/Al3OoJL4kL0JySJoLllEzwzVrv/PnzUdjUOh5/embXMjkZg0SYibSA
-WE57pCK5PUbvkHrz2tDtwOtlLujQlU6z2MoomZBDq6zNI1B7tz2PLMNYOPqHcjAZWj1V8lAjc3nv
-4GniBnVNB9DVPVzMZLDxL0VGYen8K4bcr29aO9HrkbnKY3fW5602o9gycPay3+UdBrqkdN6Dds3V
-aefOPZ78qeFzTvzsMm7puD74aAVE3air9xmk4JpAeRMh60H21pz4qyNb8pCm+Z7hm9pMSIU6Jjms
-vZv1VCDtAgEuWHaozNPv+bS6kDH3aakCXkF7uLC3Nse4Mia4ykHKmT6/HP0l7O0Fh6z8E1+hKuVs
-e9y6X6YpwpdO31P/RNWll6xh0O5FfQDAwtDqniQe5j+NmN6YF4t09/BkqAddh6uncK2A5Z0Ku2dN
-Ttma9lE5YpwUujgCmlNp9+Ss2KzaHL9Mr3xqxh2BlIhKHhc9Tu2w+zsthomzG5aMM290pSUW+eyH
-rsNnd5Blt3TShoW27OA9oWTPgylP2bP6YTuX6/Oug22j6woQ3FVBznXFVEmwh7gpljTGI2a5sYW6
-ErO/+TYmh6wfff33yFnu0aj8IlqNdvMe2KlWgf/KKPl+3tAE8FfoRxFtyhhhtLOSv6/vVMw7aN3r
-+6+TZKkpr2gDv0U1qd+sfRi1jtqkWZvKR4JnX+4oNl2fese4DWRTGMwiinYyZDXzvcpj9atlkf4H
-Ap43prbQQKIJm0fKVlx2c1Yx7UeSOj4BuRGHD5nd6Kgsqc5t5mxGLbhwkuLQVlbXkC4O299//tBt
-v92mSolCNIQk8ibEUWJNdhd7IwiNOh/h018F73oG8qAmlUdBig4lehCyaSrJWV4NCtoX/n72Uomz
-eA+dfQh/o2T0idy/HSuwSxi/iQ1GljwymCU46gNF6K9S6uT50RUgiDeryL0ztzQ5KmILsNB+wkGE
-KdmoH8RvcrTYnoHd9nBXu4b0Y8fWLKoh0MJT0aN7drXLw1zjDvlQJuoo2OhRXdXyxs1d+slxn2bP
-xhnxmOFRonin2TYKGLFGPCG8KGogI4Zh0D79sYDMRvkw9lVl4HkXYzj9HhgtutSthUNcLJJOFnQT
-HUOixmBlaBxaL5P6rzCCnXDnJ91hH/5gHPIEZuXnsKzlEX7lZesnEe/nN1RzLh/bkUy0r8BuOAVA
-vyDUtFw4mpAurBO9qx/3nJIQdl+Ucqs2I9X9wmKlRvVuHMtPpoG2VAVdKgHmZxygaCsGoDS+ZHJS
-r4paWROu9QRwVRRd2REO8/3Cmi9NYUkvebnyqYIbBWeNGe7eshCIaw3KpBl5vfayWhoYPrQJs9BN
-H9/SeI0mr3LddxiEBfKxFZxZAhyP/DqMQqvAj2ynYftfWOPfJViJzEz3zx3gy6yYDo5j1dzDQaWx
-6EUJw9Sf7orBfbrcJvLKxA0zbhzi6+En8jbTCt8hDJ8LvdHEwNzrFaqwS7r0eC2G6AK3jA3kGrPt
-ddh6KFwW7VrVhILhcXozwpQEsPO/hb6yZ37lbwYW/4fR8UeF+S8ipM0N7ZhYTHQei6MxiztvXMR7
-ds3V8OX3LCrO7SsfenqhPN/mAuEKxBBilMXl2Yxjcum6mxWbQHfpxQafIcBd8vAGUQEMksznLCZC
-aqpvlGOE9FAIK5mkcmw+XrMYjkyEWNoGSU+YCOR1QbFyo5E9AnVjULg7f6u2jbf6FZMylIxBApqk
-33zaQenaAioJ5s0c5m+rXk+n0HS+iLr8/VftG4iIV35ndYG9h90uaNe9ZRlNo9fw1dUbdBsO1koT
-iB6oFviAtEiRuIEs2wCbYTCoOfdeqL1Mhp31QtAzfhFFomoa9gU248tGgYQl0N1Wv8z0rOjjxLXU
-19dCCR75kDMFPYzHlrgMU+vfvfsX55XajLOX0MZiyv6e2lK4gghS2uECKLCGvzJHHfv31+DJL+0N
-RyiRR8Z9KC3DQ23pxMt/lbc3c0Ri82tmb+gYTun/15q+itm+2gOxcwsq62J0wdFO6x3X9CaLk6FP
-wqOyWlowWNZlv8OYrE5z8aMnvsj0LyhF587IywfpwxP83aNimYd6+kFTJFIKvZ2zjFU8DPRbK3xv
-Pidq5UwmpXFRFwtaZTcHJKJWxB+jcmYoNX0ZBH+QUWX/y4+dEB9SVRKcqJ+RX7B3gQTZ4NFVaeMw
-qFBxoH+upBvkqmPrINdttRE1W86/37bphdK44AxbqUHVypIkU1zAC6hz11T8i4NKPSS8w66okrN3
-0kUBJXF5pv7qW6Q3RfglRzXK3RsyaH9qoOPapDmyiftJEuGtY2Fio89cIiy1zDepfEFv19ocFaRi
-8FiB1aK/ivd4W49bg8Pi0bwhfGP3L6J1fe0uK78IhjYgbuxUHKbeUzsPc/gYJ7w8WK+ROX/N/WYd
-KV35iCKeIM9Pq3QWutKFKGDyclsg2slUI1uA/XidL4AfWhMDuk/GOONkQnQ0cVfNrReViAJLKwnk
-SX4HVg2QDkgSaVzav8FiBMdEDOfDeu6b1Ddx62S/u/mf1U35iLn1tnNHmm53HS3ySL/ju3wTGGB7
-nUNAXVSXrE2wPJNxgNge1syxOBsx2LqOawM8BqkzcA4Nq/ETTVSMY8XihQLjU/9AoX5FxF+WeMvL
-N7JTqyVZolaWgMD/IiNUkLfyBlyMG5dFwm8Aa5CbLlCZh+Qq2GddQ9OiDv3EcV/b4VMJmKnJeQfQ
-DCQezP1nxnZAPONktEXqPeRPQQrDpXBx0Z8t8Uw4OjmWZ6Gs/l8ZqD/t3FIrjqfXbfXHeVNNo6e9
-kOab6d0DU8gxzMAcmhl376l9Ehk+UMtVcIyn2uyQHeUbYlho0lKtPdvmMQC0OeVFVLDq1YyZhSFV
-a6tXZ/HbtM3kZTL9cr4sbY8HxAIMGSgr00cUPb/FgHolv67NInRlgzBsJU8r/tMNpHXeArsWTdCu
-X5WwUtgeEITTZncpy6Y6Pl7tT8bCo+19u2KwRageLENuq9Pz0CrxjYSubOyc9hvgAQV47otOY7Bv
-86ve0IvSL6RDV9tbFjEaLxQ5mIbzhlQU1u4SdIpbPlkou22bmt2YKtKJY2VX97QoFsfHOUxoujzw
-9/6WTxY/KvAK4a96tyTP4cdsOgkfXyqRuSQ1igIsreeZeXlRWOI3hUH7FfOCTeG+e1iE2PruyVah
-pQwk7JvGHZDaVLfyHG4beZy7fmT8oqex9519hO2ptwWqllPgEm9wc8X66jow6gtOOWDB4Fh4coam
-OLu1DXDwK9v1XaQt2XXFmVz/SkfHHy+QNQm+VV/yqwJu8wukwVgk9B5vX9xuKcwHdCcAbZFAQURV
-LjLjSWdu99smV7MDZFi5OaMS+iAXdWYYxNKAOmiY6GrKj2ksIwtIg6XXfIja/Fw6lkucHIPQyI+j
-6cSUT/zg0tp41LYpkzvbxZNxUbmL7ZYO9mxLyj4WcxSkIQ8KI/nckgDcsIHlJycKdVmo4oxQhVRS
-4nvnJH+y89DmEgpTJa4ed8oGhpUEHEvDK17zdzL4JyD75kPiY1JGczdb8ejEbRGtA5kXn+MLMidB
-aejaZCKtaAhNkSBLUpIIMdHEoRWspiW5t1koVzcY+5/MNhSPyNhU7y0IyXReFqse+Ct+rUXbva/m
-1FTKXS0DBkA7IjbZ2DDC/rlYWLBQVcDdumTehQZ7l/kNfCXxTAHpU1G48g6L0Z1v42WpR5AN/N/a
-hussBfeCCDHK8ZWjiJ/dDT4JbA5117IqLRyq3wRjF0W/f8qgWO7CaBgQ34hi6bScsb09aUszEthu
-ZTWDoeTJLhVg2DqTdY/Otg2TY5PGD1EaYegXKoPXTQR6/6b4MeCxPQu29fC1TMZWlVo73OT1nKQz
-H/wMw/6wujKTpgH2KfXOhDWVOiHa6vExfZc+HpmHG92EUpQHXMu9st8G7pMA655C2UOzxMnliCHC
-vVSWjzPj8LivTpH0aNwcx0PnB3kWbiW1TMPolx6+31YUqqZ53QZuIxaatWpqJMd3TbwE35hKAb/G
-zSy9qeqOE2dVW0rEQ+aEKRmAFdNgi0ipg1KDtXv8XuvKzdg4eIFc8OlrBPVrlX2oS4gwazPLWggR
-8Li3hiE/0zRz1aLBSMW3kE/08soarXoFkGXWmrpNFyb9ho3PYZeMTdxaOeaHM8X19A6Ucsq9IaFN
-oxyGrwhGqzmYb6RczyTfI3mvpGoEi6z79PXiJ97XLkJFt+c9Pcz6Bz9TcS7IwKT2r1ovLlckD8/W
-R3IUjgQX9PiUaSOqRxD3teoB+ednuwOeIO9DvJttZ2xquHEFi3N6bHpaxk9hp+e2zv2RyxolZwYd
-BTNxKCtcZJEl7/JlGAQtqdiLqgv5+/+LjVnmAyhUKTct0GAk9KfdSHaurXKOFlw+fOKTc1xvKd4F
-mIIwJzdDqvKnnIqW7AVKsfQ3r/E8ayUXQuQuvCGXnSPdRZ7JPDuJTFY/8ddVNBQFYV+bc4Ymz8Vk
-fnwS1BaxUnn8RA8nGQIHs+sj9rJFpS+L6q3XYFtLVGCbzG2MZEcELAlGX3b3A57PxfblmMAyFtp+
-Bw3wpLlF/ZJT5Cs4wmEA+ez8qVuyUCDgrUbdK/l/lu/a/F84M3tXyGFOFS/oJ2+TsqjvMLDU1GSQ
-OSbU+ADb9WwQsP10QJ8RNC8rUL3JBDRU/YLY7siRZr6TCMoi4GXtLFe2ljuWumN1qDi/LAA9RYxS
-BZ50uURgB2O2dwHuOXUBtI8yKqJdvZGmyRLXuNSyzJA3jNAiArd6HC63xqfgq+/fZ9m5MHJF7cu/
-Sj7M2hS/eRZry5MD5OtpjcWQh3rdlP6UcVRVZAe7Snw5WWmpu3ZAyt5bR4zOD1rSsMR6Q3HKAIpB
-M66QBQaz0pUbHTzeoABpFPg7BgklUBB0RCnZXH9t8712KOV+2kZ9efPd4+5n9Fr6dznEXgwUlcKF
-aQfS2CQ6yxfcl6jm5fBkbwXGSJ7JUvWKfaWcl5cD6dh0hHeaV7L9UWnrDXhKmY45aIefuwMxV034
-mIfBMt7NMpVMfbkA+Gbcikody06Gzre5B3W6C+p1gWARhGb3rscwtauz3xL5Mn9YiBwrQbzU92cH
-KUaMkbjJ392cgTs64x1WP5OVRagGJxwOI3XIoeiRd0ZopeK5iJHn0oQUZMxMHcIhqqEapu/vnA/b
-STPUirVrGrKSKG3hmiV9HtdNNdvaVe0qF1N6rQu4fq8LV+XYARFiyB1X67b9LTa0igQNI7uXHBYp
-A616R1VDqY85h4n1gEGTqON6vCqVYDbWAP0cZRn38ey07ETsr7rRG6Ss2CGHEmjsDDaJVkVJGQzT
-sLS2Vsa+BhWKJjBs/2M9sWpwkRyiS91DF+nctn0K68/JuPc2cmP3y9UfaH/59VhxE+GqdjbMOpff
-qIsCjfcKyDD6Za6uWalImJzDEZkTjE4nM790e/gJtT9QaxG3in0xZSZXRG41cc5o3cWGtjLWPm5d
-QsObSnI6RrRjMNUiSIAI5p4josSI+OuNI5XdWaj3SE6Hv/TVEuIMM6sQNVHlb6TLMAI5+LbNDPxh
-Xce0X4cUomTzUzu3sVQrpkfl0lJkQa88wJW8LUasuvf+HO6ed7uTEiVFQxjeZRfh0dVbDXfb2s0K
-NHFWoej89GFb3Be1FKBn/7X30XDCXWpXQoScunOYDMsY0pGWIRxtenNpKHnq+L6XAo+XqZSRpzeI
-5Mbb77fLsYDe033NgZ6yTM+GgQy43HTZcjLiQmkXIi9OGhJ7VeR7pULo+FtEqyhRllT2KPyC5web
-ZQKB/pISlk67OVw4Ep+ljSyRGtlZhN4Rly4OVKFk/cXEtUC+V2LnXVNBVIK2ZwLPvz0q5eSSj5bD
-16SQofIJi4J7tY2rlyVbiYdIzb5SF4bLwQwSAbZ0teUXhPV1
+XqQF9dZQ/FwD7/YviJ5mpDPOUr/nbeMNNHbmDKyg8H/Z4nnNQIcWKJbI46I7OJTEkElsaYyLwXgL
+SgnmZc52Fir9lQT6DksvVg9ymNnfCwP/uDNIOoec/RS19JK//etod4d7wvEkf5w8XCmVH2kEoGio
+UxnG0OGQfXFXaTEZexqqxeWoq8t/2sF+q0Jbgxvp774V6Vzjj1awLY2GMuw8jiRQGPFgyXGz/9Zf
+PjO/t2x5VBPGPN/Re+oNM62/TV7nILuRWVl9/SeBilf/Aru1ikZOR+VfTzxyQx+Y9HOkHGB1nAU6
+rn65v8t83B2g2N9Mxjys1swxVlFb7MPIs82A0XZbWcJBvIL9bEfgB1qx9ZfD3RS1ULVSZs1aEDX9
+JvgAxmk6ALgX8KRUx0EAYSsaWjFiwF1ivHCCDucxMfhaCLGto5TjqN7YdXhrw/mLfTGu0cZzz3JP
+Zg20pivcn1AZGCk610ncT6sjosGK7hCzYP2EqZhiPpyNze1fegm3QHNYWe669dsvfkch5IMLLwUb
+Ur22Vog4Q8JnWQWJeIsQt2ND7JX/AliezrOloJIBn9S8w1Ks8PiRe1dtw4rcEvweV4LJhoh7iIOy
+bDumKfADUu2RX+2DO1sULPLyYFC4Iby0SuBYVoanqA73M/HrSEREA+adHHAq7LvHgC5wsEuYanh/
+0EOb2skrBPiWYfaju3tdA5FhCdmKg9FCFtV9nIsU5KdApAi3aaB7aWrGTLjiC9/Zw7C0nrGgimFV
+JEK2A35NysHJJ0H2JLC1pF6X1H1pK07+HGyEBvhvWDkDrWPLN2RbvdtFJtCKdtLEfGjBLEushDOT
+7iPqlyQrvYKKdgwn+LWjSY6AahBMFuIwvju+2r7dY6zX+c5g+rJIPGx5rkAU0oIX+anTG/wjPRUA
+hAhFrcpim5njl2yjlwdaHiZbY2FnXBOais+NiO6Ndg1F6QYuzq325q3+dMQm2AgphC49XCBWmHaL
+ccm0W93eum9EC4Iq3dd3rrQ6v+mqTMklVF+JcVaYZK5Dkq8Zf5lzCSxsjHPy8h/t4TTdDLQ2k6Lm
+m//r2shqFC/g954/v2G0sGyt21y1GPiNrno564fF7625SsXKZtn4RCaejDvc7i+JswplaO9/Pv0u
+jWVrp2NViVMWAdlpFI2mfxoG9/emOtg+B+2kspwJhS4KMjMhJyUqALbihCowtpeB+HuS3JtRgChP
+WNakL4Rwx/8OBHjxhD9HxpHd2+gtfGLErQvvk1m8RSXJW2UHiOuB/P1R79nT3DNimyITwUicYiA1
+ihr0Zgts3Iq1GVz2iVsqD99x28Q7gkgR1+kFSWTTRjNk+gK6o1W5Wzbp+LDkftDCwObU95Hz8Jpw
+cICEcHZUcKluJHuPoWdOaNrbWUK60dGl0gzNFfjp6nSTdRaC8ec6Exw3fsBIIWgV51Vn0JXz7TFq
+4c1Mimr9R1W6udg+RI1rKYCBsu3NXXJwCADBNPraG2ztTOKAo3R6RxMZ1W8mu9EoqK5B83i16z9K
+E8yLmeiZ8Ru/l59XfzOaILS7E9zZs3zmpPwNiGWoZBgHhKXQQtEzYFiZ3JcuA20c/ouTmOR4grTD
+tnp9IGlpKA36LvJ9kza5mZj/rA5cN4CWsJRUvc1lIlg1G5vK3wr1rJ2mRplUUhaM8Kgbeno33x3G
+HHGD7jkNQDsIPkl5O464eMy1I/k3xRMc9Ar7uwb9gs/GED2GtA0pM9YZuj3zY5TBBLPlerrEOcVv
+4daFJCu8+felzBzTHkrIjPAAKG5lmug3r2JNNlDfHKU0X5j9qxBXm8jUsLRb+jVlmfg6LPmUjOwO
+Tp/K8C33Z5BPJ3bbyt8E02Yv2tz0c285GprQZWAWSLTjI0qE9c21B1UtgzRGoBq4ichisyhwtjbN
+g3ZOvCStSCx75xtamwS0iNuVzCCaFf88YZBLL5767MytxLbkaMaANY9BvXP9mkewYIGlm5UoX899
+qWt5WlktKmehCmcucLsHv8HaNA125CdVSsNt9lsguZVDBkR5MOYfiVbrfQpGrOKC+/GvzHiMdOdS
+LmnF5OISQka3xXldbn3Y+yC8EoTbqXtHIl7u0n3hVg/cEgG2KIkgqPDeyEFXaIjrzFv9/kxDuajD
+ctc0/5Mvu2f+PlApZTxmeXPJzeVSZB7qTamg1MEzH6ZvjxMuZBm1YmjLgHw357pMDBd1i80oYTCO
+bNfWFQX4jt1a+fCkAyVhB5V8YphABlmIeal53YSTA4PwvwTKB6lG61o3fh6BzPKtX0W7zvcdChAS
+Firo4ixnhBr1Ke/NRqt+xaMeJz+Buy85cW3NEwkWtQNhPqdyCVCziuivGAxpJkAPLlswHjZ4NFyM
+KLyIFg7esnl0RuSx7/OUbmkctlq82CwIlGOu8+QgNR7uPZj7JneMGXuXUeSoZ/v0eIt+TEB0J1lH
+hAFmlmBw/LHikBquQ/m87aE7OF1IMe6/FzONa+HURbMD6lP3MHb5pNEMPRKLx0Ilw5Rg8XHFPErq
+2mHn3SamrWGIS13lQckeHQRndoQtSS25W8MLuNvBZeNDBJU4s7R/uLxZZ7MmiOwL5hNkExk5C7wk
+I5sXfyLGFor9+wyXIp36IE3h6xPjnWrX9MvPMERtchymIEmxEG9eBM9spQgpPwXyPjbL4mshvM2M
+pmc/VxP/0Fdz9Fk6YSY9pIq79qSr4hnO/TBKUm6dN1lD6nbsm8kIrYHs4f5YvCK3pSWwMZ2eK/OD
+Q8LQLif5rMLVZyANv1mI0gvlYi+QHnqfTdAIrIMEHy2/we8tcSGzZi93xmpW+8T8+UDQZk9DXqyA
+BvNVKvpvVU0gvG8hwXY4UuouZrdMVElLpSMQBMUZ8vJuT1/kFJUNsDoMoYF6emrv44QLvWuJRmQl
+i3YbwkOwQ+JjUsxXKxd7e4ajzqZx1SdPOBYcFZkCeikrUdxlG1TYMhk1JCQzg+NTH2G4zChR/ohP
+yzTAT9Kl3VaGVkNVwilcvcVk5FMO0z6EmgQvtSs+71B4Nyr4jJ6FMAtgnllwCkj/rIaXbuGtj5w6
+Yy6+RCF6inp7Bh/71fhzejCl9uq7rpMY/shPsny8t1qpmM6YYiZUrjgtOBMk8xg2Gb/7tqXm1nul
+smaQMJV4+sD/LnzrI3CAwG7msJV6lnrdUUtXonL4Y/9V/olItg0cTMdrv4D+8bqRMEvjOHdlEYRu
+CRVqnAQA4u9Vr/DR6h0wYsbE9YfKomxerCjROm2PimqVPGBvqD18/Ur8tOvM9thgeACdTfzvSeqi
+dRKoqwiidHMXoWgXXOcC7z3cn7lX1boUI+U44jlFmLMluPf/iyjT02w1pqPHVFh9SplU6hsBDCds
+MH2UOWZHcQxNi/NAkXA/KJumhm6lMG+xPGWYovb27QUxpHTvwQmRLw5RRdGGwqUqg83tj59V3nWL
+yAnsvjdgUgKzjjNHFI7lVGquNp16V1tzkcI2HRXk7ADUcAqATwPO+swtI/6LfWnRaWtoUoQQSNIx
+p8MHPduqtaLBshLu/tO9ucq66KBVZMeTTMAU8vSYtUKchP11msl56MhA4NSw2qM+6J4/izpuM+Kr
+9+1YxXCbyWwIGTxQ+Ofda5VI1cFbxDWfWHkfRjC7Z58NSxDEEf5bez6l1aP8p5dmtK0JRTQ9Xnw6
+10UURkIlSw0bN8EOYPdlKq7K2XjIZIdrvgizppzHeNLxt3BGK9pNew4Jrw163lvfdDu6dvEcdwKd
+NZKSv0rqRvq0pSv0CCoAKq09Ckt7aBW5qiule7Y/V7syFM493lJFVQ/xTUctEvsETeTFuCQXD5WP
+KGa7CVf33Yp3lxwN7o5sAefNhAU9iuJtIOXg0p114TK+Pmgg0FysuyLnjRJt7CFbC8m99mMd9791
+Schq0D1wbZv+uUDeknEAF9b3TPTcti1juRJ8gfoUJTcQKe3PKksXAKaakjcJdmwPWnsaMZZ3Oar4
+wsXoBMo72R9mdP6g65aCYUeHO1/77H/vYOlIElX4iY4Z5RKq5minXE0a+R/uRgUJ0ph3dqhRdSHC
+RXgCdM1lek2ClRQOTiDbRlFx6QDCCq8d/AqY/C3RCrMp+OmAyWqv6s60FMpbS623FrVLFa8bXsEw
+bdyoJ5+c/dKliqDGWRNVsZc4Ypd8+SJERUhhxOcdiVEt1z8NDaxF24zrmtg88WAlXbfN8gOU77kv
+461bby1eixqQ/sWmKWT3tgYkfSl+wdMsMF797IXSmbYAfRWZCXRRjAe85d3HehANxZXbXzXtm+Kw
+11naQKFVVQHUWEz/nI+uKGU7Lax5Jz54Fy4aK3g2LRKH8GLscw1tmn2JmK9WnBtif6xjeQl1CCM9
+rsdFfQez50h98aYVqy+o4amBg/pKMqSR0iVpbeUext9e2rNbd+cg+Tz06LToFTFqCYdTWZzKpv1Y
+VgPmIzvgBlrG2vn5oU5u2zMA2pbzEAJFQdQScdZRtfB/XySuug8V6y9bCBJwpZjG7kJcNk9Ptqd8
+eYqbR8MZU2LC9XZ6Or7Br5YoJpOXJeW3W/OdPAM2qUMisTXtxLEkMRyAVEbXLjhUt/9TCQ18zu52
+9ANBCxO480DVos8CNxzgmukbj3eWxhl4kuhkh1+zsSSi1YzirbScAIgXzzX4Dbukb6G1x6S70ztY
+V3F3O20GNLmjmJv7z8yXCce69YPSpu2W3+3UCzWpLKFKU55RprtYnTzY5lqcrm9dywcRtrHZDWQL
+dM0epuX9Ux4aNoW6SObSb9KRJJuvboTzUqwrpeTZrOdB3s2QSZYSowhN1hpGI3ZKGmDcwgTBls2n
+GWzhYeoDjUikFAueuav9XpnvpciWdZlmsNFL3hjBUWOzhdntJvUw1u0qPFY9Mqd0rQHKMil3CUS8
+DLSPNg6Ye3MsbwJtaY3XrrkHWKOOttPh5q1fBd60bQ/uITZFfL/1HSxQIiG8ddpHzT9xr/30mi4w
+Iwl/YjbJwJIUddqTomeH2QJPF+fno1kB8cj+eDgVKKK+Y9Uqgq+dVVBdeOZeOz6E2aNhbB1gGqBV
+iGBUyACDs2W/j9hMvYY0pyQIQxRSen8vkFzC/+jj8L98GDq4VDc4PIjf4o6aevbTcM0N+hPW20OJ
+VUxrdX/OcBWdSnMkHOONxd1SBaAamChbX7HNh8htCcmsWWvNA//0HDdJJKUhRdRc6w7/Aq1Gsfsv
+YNvfY2wW9QfGgFV7DY1y8fBKl8cw7QyyVrBWU8V+FcSEqPbnDnJzbKm/mBMmRWmncZoaIDrp9Cea
+JQyL1T7aKak04BbpoUTCpycaBMWHJKYz3nICUS4VQ9VGnq1B0u8X2Th6/5kp+TOGXknpX7Y1q+B0
+3dUSB2ff1H4co9/ebQospIwv4joG0pWYde/wiDZe4ieoH49amw3xaFTYu4mDmCns7RmAP2KBJkxs
+Lb5ZKsWp6ebfDqCysnvhxei05RzDR02TYud/xmCPAD+YznDv7vVDeqRtzKRB/v4WVj8ncB9wKn7O
+LyCoqmLdcM3uQnPruUF4zj4cK6/laC3d2BV295rFtIcrS2KD9nTVMxoycFdhScYfFYWCysiHnXHz
+AwhRjfwd1gTKkBNrLOOrW9orqzokm6tF6+juUOzF5zPzQd7Ymse361XPHXZXwChnb9M/MWJZnOgB
+0zg/CEr9qrWYKsdiwKf5A939S1DC/F7LQian0qED62F4wf7lSIbriqCKEz4QC+48zkgytonkAWa2
+/j7yvkyvdrqIopp5pyeV1hbEb98k9TKpSFlO0shTsakMy8WgoOpODrMHTF9llXQP6T8+5LbsLJJL
+uCSpnhkGGO+Sh0yBfaF6zgkrl9AHHct5Rtz01wlrSYf7bllYWSXPrrpvWWlJ91zGUz06zu1YmVCH
+05iW4JxtcRKi6vWr7A9lQfO7+vHI8bLZJq91Z/pWBGm+g4rluQdx81E5wVnSMjFmqjT8ttm1TJI1
+Z5oB0qh6gwRnE/xrC0KId/FwGzlqrUKyDH4J3a/Tw0Obd3pCI2K/bBpinIEzd4ylB+QMrTMZwTyE
+yQlqn+fC19jxmiyYVu22dYbtDfyoMQmxLElxtjA7smSqGBI5rmJCziIBdt3NU+FraSGKnri4JkRE
++Fmzu167DGnSw+4IXa9ZLIuCNAOH0m3mXqPpgy7j8K5sk1nownKSbWon2zSIFvNDHtQ/cLLq7Lt6
+TiPMroczsTApUagifGu7FCgAXrDDOxQ16LfV77DZVr8BnlXICTmNfCPi7TR49IEi6r0xTievSIpT
+jBO/CGfO0to4O+xIsaDf9V9uLRREJo+gc4QHp/+ufGX3vuNHXVvAE1CGBeVEUF5Xj6t86ZMX1Avi
+SvFtNWPOZY5T0WF7QolqAJ1jEC+n+/lfn58Yka5xmD3DzXLlztGYF2z7phPXtvBb0FxN7IQXghjX
+Okn+ncndiTM7v90KDVAGp9amadPxu8bXkzaRY92WSNtC34LLbiwBbjTwf6nR8Ia3/IlMLojGeUIK
+WTPrjhyFqKAjaY6a9icLKQwfqnZTslhm/wQYZmUG5rPDk78VHAkrlOHKtq2eTr+GYRxKHJuUdqKi
+5gVo4t7D9Rr37/uH9IvaeIDM3m3TLHYq6P29PfGGiedJmKJM8vbwvpML8aOypiujMKkJa7F9oThj
+G6moE3wJumw0t6dUfN0AM8L3iumwxqUbWv60o2vsrPrXErKsxgORg96BkHwUAdbcjdNHVKSDkVFo
+ACZkB9EdyTIAnbgtt0zVlD+kRNxwpARe311OdtY1OpqMdDLJkQ0aHj+TbaDZCEQ2QyXXCi8Q//YC
+dir32tPQWfBFEGd/5o5EWmRjC56Yf63vPzrzWxZyE8AaRPvlSHJlbuZutOGdPEn7ho/F9QgPFEET
+2DAYyxud86ogRNZURoR21y+WABSWFbhF4ZfMy/7bHWdavDfljWnfjU1hFxWCcZypby0HQ8tleFJq
+25wnKBYo0yqGTS9t5bSPlPZhEnrxOLvu0twEdpsjWgrOsbmR+bF0dJk3oqIjFkP0N/jPt4DM6ghg
+AAfehmOvsyDFBh/YaGXUhACJtjQ+LFglBBiU/8nNKktAxxsylPfjZGiY+pQmOdVngY1ntEzmWM3o
+7c2IwJSRTvRCKYbT/1rOW0YVIiSwvoPbKWzU12o6++QAXnNVEvYROwQkMkBHlXnp0EsoPqXJrcYF
+stG/CxvoGDTZWQQuJXu4aRhMkbUlDs5Hs4bV4FfxIdnFhmDvVaKk35aCI1e7yigyZwdibjM/8lz1
+jQxV9J6yb8FPxT/0iU1bKc0j9mh0B82LARFrZ4AKYLchEBgTZje4UKICDSVVUmzD7WZOChbRp6PT
+xIzcoOPXCFlmJlQgH4AVmN7dRJYd0LXvFT0ODFMeXREQDJS/dt7HtL/kR0YhGOLG2z5yHcLvwoDi
+l3BR10Cw473hBzWPmtV5weQIGh8Uyh7zVOL6ph4Lpeb2KKz0WIr9c+2+dGo+MMVAfy+Aqkm3FQQu
+EVCaBNl7aKXTLQ7GMXiFft6ljOxULFQ0Hl5TwWawvJh+RsrDOhCsJ0H/FuHxfDS2ZWdqUaATzfSw
+B5X6lAEdQArko/8+o6dforSxxhbDb9BVZOzSot6R+y/K1FxhIUqhfY+Qiq/aEiscLvVyNa0Z7Bmx
+1e9RaJWI3xg8+fdJ0BUAfSKYxIK1d4P91dGiaVmJokxr5l6x0oB3pnAdfg2tgPYGMf+NPeiO9DDc
+O/cd+yMB4rScLk0EZIw60f774BzSBV0f1nWLeEdnvwYWT9gpBJhmIN6IJTr0f+Ffj5vuCFlhNdu3
+PnWkzVhYWrstRRQhs+26Ri18pUMWg8VqQAoj5t6NW0KbTbmZh36jb9MNquqIygDazZzhACOxYzNH
+iUjEIoc5beO3eYXDFq2XncTLkEn9DIn4nPsbCkaKONG3u9el4TDvJ6w0aFizEUWNZzPzLxN3phID
+iws0hvxhJqOADnpBSFBeqCpgM1FjbgxreWSMFqlfeo8wElvgz759CFiUwcH+PQF2PJ+sldSQ2f3D
+2ZeQnm8qwAD6eD1We5jooEZRK+LqVKsf3CaGZ/xJb96+vuxmygrRWyfy4ykLj1novqqKGqQcM2SF
+iNCbh4NagpbCvQIFQlPwDzsY7L1OYDT7pxGc92Sc+8LS4ejNKFIXo0kHEhlhtXVWrBZ7pYbiJFRO
+L2VBVWZiTQAtc9xTWxjTG7Adazlm+r2m2YiiFr/B3cE57czGPU2ijD8gmKLgquDxtr5RTD+//vjS
+YwWfqNdfdQOaL4OrkoBI4uA0ui1dnsnB8VlZN9gAEOW9y6BGO3zESmDG+JSxeN8+cLakMK+/528g
+Q+n6+MNCtEUHksNnb/bA7OtYr4k0nw1GvGTUUVqIp4Qft6NBbdYrdEF/pxu9+A3eQOxHDAJF6ReS
+soHB/y/ZsjY1qOHWibzH8IeqnJzJVinqT+Z73BaFnFGOMPiyAXSAKfl6WYvKfLy7k3VOP5bRDRpb
+MMzgpfzDKqQmXR1y94Rh4RQYxQuqNFopDDusWtS5nWjHFFZizFyuCQvLRil+m3sCHrIUQ0CEiOI1
+Q0Eb3LlwQ8jGZ/JgW9qoGeUOQ4XLSJKBt3a4lnoMUc8k86obJ5bP8F42cHaJ0YaUFu0RUyN1NPXr
+ROOr/f6w89xrrY19BIuJ7bSCbGBV9pDV01W47feaUTn6akkP/QKGb41j3OKEbhSzuEYiU1MMdfU7
+ftmDg2zANj8mLOkLPRaqIydE4uFcytFudauMJ94T6avJ7c7N/6S2Wi3jLy97+wWdD7ca8vXBm/NN
+grhKb/jDfJHdF1kRfjgkCX5hVhaId/ae7Rh8TnGJLuUOts56W9oVhSedMxNedKhCWcebYlgaJ1RB
+io7vlhP0waaHfco39eR8tFZTXZlfDchDgIOVO/K+K1pK6978i6Ab1hovlHB3pnbyQ2wvsB3+HHCN
+wljZ9JejGye89TcOo73yHbicvupLp+FVvbZeZrVKRB7efPhjSSynqAgvOhcm3OhSltDXL31qpUNo
+LPEvPASAtUTXtqnt4wPQCbedLIm+qQbpWBIptCcWzXDIqk4AUXvHQIB4T3GvTg9M01nDt8qlunAi
+ZdWVkVir7G90vcVTN/dhAqh6AzhIHc4x35aI3IywSJhSfSYsxwxKHnL2tt4rkT7QR2xtC3K/ynbo
+8REXdU6SgHpHbWCV7I/S/kMhw3mqiITai9D0INEnAdUXFPsx76onORTNbTHKNlNlbT/tvs92odcC
+7UTZPCmkRQL2x3hbKxJ/E3tb5nwgCw+nHjA9cc/88dBMoH3NdgS2qFJ2DktdEwbEIxR3d1uOsh6/
+Ij5dRUiWHWObKScj5SPSDrPN9/rAbWynmPy9ymUbQUs1hqfCLz8z+9tn+tSpgt95waBrjWAMxHkr
+BpPo7jZ7n0fTzBmnr0J9N9xndGb4rOwcMC2iQmRQPQfMYrVYoxOimAcNJxn7zlzxSVb6qDLG1Zwg
+jSzQnop7TbxJ0ki1BsiL62mtapoHjenh0PF158wUA6IZDCj1T6bQfPT7rhL4f2EVxAYsbiiFITFS
+09W6P+q6yvFVwQtKfdRro/wy1kDRyb5+kYuXUPZZnognJdywRDuLBBDUjXUDs63ezkdb7wAK9upw
+UmNKsNIdok9EVR2S0f/g0O3Y6sD+vNnhlDQ8eUqPSW6/vTAJoXker2ChkYplESVNl3ZY1PFWEPfs
+w3ZN+6TmK6auJ8w/z43oaYGOgoQOzVQlzczaOuZUpF1MqGPtCMJ887jklaNWfug14kVUwQYqw0js
+m/Zf6L6zG60xc7uWJiiek8+dOJhmqw7nnNvDP1zm9vdEVVW12+r7v62Bx9woR1JQpu71ajOooTBa
+rmh7oPxegTjT/BH9YOYpCs/9Tkr3Tg22GzZ+MuEfro+TBN5b2sn6IXfep4l3TB3qmnX6WqLeY3sM
+0GXFCYeQWB92hdEu4fGkwY6Y/qKXxCWTN3eFrJr39kr0H4mfCOWrrrc6LNvIm0HtmFAUd7YJM9O3
+RQSqU7ibL7DhrAe65sYzUFJyNPKM/fcmNf/EQ7AbojR42YrHD1syfVe7fjP6P4i7iuSHWKhgo9vl
+FN9vRcBJFSZriCKniUCPzey/vZydz8sWn5pNpgeqqdKlckXnmsw83gCNR/Ty3grp07I8vnFFQ6h3
+ggmC1SwImfIvyTQqseVehXXcFVvIod8HIi7NjGG0xDD6RW/MkBwUedJsC1ZijGrSOrGb2zptt2lM
+o3jC3J/Bkcdmi/1G5sOr4NZgtMVg36tuvF1Fslx5iixaFM12+HUvRFgvgY14ZK/mMQG/7iJrgrdg
+YyAksIRkBdMrlj18A4lMSEqQjjNSH7YPNhr6NoFScin4TccyJ5XHSv+lStJywvX27GcBOOjkjXf5
+mrxomCVdVUMaaxTaKR6Gmsala/dGT9tQi6T/cjlgkOTnTSvICEJx3Lk8oPQwsVWzPly9PX3bDiwc
+YBxZEEqcQHB1esCnboooLcIM4Wzi5VdyLt9IYCkaLvkzmCBavNydwrSRE5NrkYxyiVVo0cAV2QcA
+SGu5ItEu59EG9+9lTksfPUJ5XHtpa5MqJeJ0ItZC80PrfcGbsJplpb7PhoJ6AiDj7Ot49LwzjAok
+YM5SA7WSJtoV2CrSjAjVGhC/+pNXFLulrCoML0xKPHVn9uRpFPgYsma4raB8NXg/mxaBMiq+wP1r
+ED1hVkFv5ODQG/N1srgEtiBLW7fvDrPLJBOHiQujoRluN3oWQ6xPrFCwM4m9fDlz/FV/+wsg3H39
+ZhzjWGLLpFE7i07llegmlENQoFFBbhgtNJ1FBQ3/H+URFGBu/LyLhBAnncXgsySkCR6q7jh8SuQa
+Box2eICfB+k6G7Adokv47D3+7N8tMYTA71s2mM/L4mKJ7GHYdv0Aj9qIdd+fRT/G07IHy0HGcy84
+lV3/3vcMaML/p+Pf7hiZm8tiaCzg+3gx7RxWQuhg6ikDBCnMiBcquszoA7pt3+iOxBSjJdxHgwRQ
+QTCU9R1R/T+4hX4Ruxpfs2NpSplxeZzuSgi37S2ghhtbHS0y7LgaCeHjA7FIVX1EoCFcADULHYdj
+D3tzP6Iqp5PfQXlkgyMMuwbtog7m50yeY0PphqbBrBVQFUpkH5s9TAr9YLDjvTzNZVmEtLLM68TP
+KF9HkhEU6O/pYNq5PjvETYFsdvC45rdIJe0p4XJnZplRKicy96ZKU/ZWoyNWlQLC8UMa6OH4/Tyk
+lYfvi25KiztFoZRMhyI1DBT3ePSlp2g+jtE6SbskcF4gqRQUewWJ4KVNv6WhWTWcFtDVPAcQ9OXs
+SCSh2J8rnEOXNJonRQvCBI4AJpDKrsdgnCEqfWdOfmhRi1TJfB17d8k4paAhyPk6blG6KNonTVTM
+aaWtDnBb8qDN/pi6JnHe15h1sfP3duE3CdA4pziYCzqxm5rtOjDXiFDSViyPd3/M4/a5iwij0wGA
+W6drH36dATwHgH+AyjAmTzexcBkteufRjfFfeTIlqex6F6BZrJMn11mM6cefKdzmoBLrt25Lw/TL
+BpNpAvSf99rDn8qczoNVFqOR2xrVRqkL5jcgq3rpzx5CcciXo47jMtzjYsTa68oi1FRlSjElnhnl
+D95xHfd0Hx6P63aajsy4AvTIh6TiMUJyZgdmP9hfMwdaG0PiFSFb/gEVmVim0AD4qdDTek9Fwfex
+jVABN3Ac2NNIEoBofhkjbrwxRjj9KoU17HopK+kSyvj/XB5ME+bkeEJ0tFhPR2IVkzA/eQAlLyBT
+o1XkfM0nE8QM0Ggf16zSSjIWHJGY1Q9KR4r/OTJfJSugi+qST6nZkIcwBN2oXJQuDaR7fJBu+ltf
+N/aDozDiNEhQaRmGG7l7am21xiu5T4w5F7JycuMUznkUYLXxpbJRI6ORx9mK0cLFUUcC69UVnjTk
+jiosmKJ7SxmWtS9f/LSoDHTaY4cJxtExr8lsyP/tFCY3hJuaPA79BQhcvNT95KB9h2zcr8jV8/QG
+4C/e4q4GGxW0STbx3VHVRb28hL2MzYDsB3JEvu5UIyNvwqwSXsZ32O/I+xkuj6vpyA4wqIIXffJt
+UbOEcAzgsdW74Okp4iB/O0x8OWu1JwE6zvxn6Gym5ELw5syX8rPi025/0T/gtHk5xwSJPSLI1ktN
+ILSsRhpoQ4tDLG9UQ0Kk9sotQoPONKKjm2E1TMSLgc9BQY2Dq+t0mL+ILEqzBZcvRplVLzR2wMMa
+ciGfoAMpPuFPPFNyWkM2FovuBtdmAycgcLsuyFdXIuAGQt+1h4fWOaVIRsX7bFT7H0qwhMt5zGDs
+NWnauPnYO0/wMp1j0AwVcaLOdd9uBdPHzdVbliJ4DIn1IVMTpz3xCbSBEnfWhSRxyBXrVYiM5dl4
+yHriRBU3SZw7lHb4SmkYWZ7Tekb6kVUL73KNPtaNPoj1Ce7OMYhzgmMGeG4iAquUOJyA5+0SisYM
+SBu0R43o75zK/5s7rXGkgO6shPUna9wpaMltjL4ywtMd2v0wD/mtIMrNc4TqK2flM/WGlfgKJFy6
+UWIZTPudh8egPusQm6lvUm4mtRet1psKobfLo0/hxcFMjYh3eC2u02ISIEQxHJCAvRR3P+IQ5Dlp
+9CDEbgK28YmhAEZDUoz7uocR1YUxNOJ1VQSLvdfCN9KsdcHOOTVkOyN7xGQL0I+sMtb9AP1a/Bry
+FqR56JgKNycW4YW86HmQx+0GKUweo2Vy4uo80ZWJtoS9/wBCMwmnvpMM890KHHYGDHHFp1cwNmK9
+TlMpyycf1Q9Cw8piAx4TBPZHTkPybEvXqDCqnJIVvc4owj3JIuWoJ3540q6kZWgnc2rqPOoQnM2H
+guhJbkgClNC1yePe5js1sTvBOmR1vl3739VRkykHT6X/WFO0ZIKo1y6c36FyAFfaQwrCeZzo1DMG
+889nQulOOQqkxmfWajWCg5PNZncLNeGk6XfIS5WJyrRkFVI+E/cINMVEXVZpkVBJ4XJ8VezBdc+d
+dY0oaUwpRiWEVCIKBGmQSCriZIkBUCz9cm7PSWXeRbcfuVWlAMHASWs56lbznJeNeEtnM3LlwHY/
+FlfS4gpQXSJY0p0FRXcmpIt9KUsFCXzrsETvOdgVRNoCw1cROn4we5h0mPCrMSFl9KHO6G2JhznM
+uj3xpQstQczvgfpzYF5txZxI4FQdDf20PbpxDfX5pSeToiLcqneqTeg6VwOXBBFuzCzHmubwPMD9
+BJB/ZQQRwU4inwQ32fyGXObzKmNi9vJ6tqIG/2D3bkNnLMf2F7byHArvx2ta5yDLmwOPl+3FOUW3
+MiZkIUr5cfoNDKnijhvO61KqdDQJZCUZjNkYiZA4qNuCPDX1bcRWqMfiMwMLw3jWLMzZDCHMCx1r
+32dFaG6BFtU2LSPu7RGgyYzpPodVaWg4OqNoJHBGAFuzZhER6XZSJ/k5Ygzw8+p3+Lp9z3/y6Mob
+BYG2DnLZ39P/m+aAZWOiUx4mfSiSWaVhbV8kVqspFkEC4A3wJnFp9AX/k7XoliNTJHThqG5gDBiF
+5+ST4JXmmPTIkb97XyHKXHWYdmcWRJRAsh8B+u8JawnCu5CHyqCTz3jqudqLIfa/dNcc4YH/RU9K
+Ij6EKhEL8F9LIUnwoFZyXPbP1viyqLRPEMkOJADYdv2DpgtDABuhVW/fZQ+7GCGlo7Udu6DVXACz
+eCviVeS/ChJfKFq5BXtchiBkGTYO95Zc+OvfddZWu8wlANGoIOkFXoagOcdCWXhWcfPiw0+N0Tpq
+IxIFb69ewvfbtyc7ONtdew7KiBr3PkXw3cdlym1ctZHO7oaFnF8q03ogP9DtCfxKBLKgTis6ZESf
+lTZQlhi02bskoScnxzzzNAapCfOsQgKkIRJnJ9hRDKvkyOrnjLYdH6Lq+7Ny4sQQJx7i9q0pTt8c
+nFTqzVSkKMJty2Ei/cpazXG7l5ewlciJr306N6m5CTZtj++SCiCl7LtVDydSFvFi2dj19Njhr/WD
+gdmyj8bxWJBY325hhAikLf3hw2hrgHcGLy9WCYbPNrnDqBaXEgCqHlpYBAmW4gQgBCPz6/d6Tkmz
+3co8UDKxib++sxzllDZ6JyIxaeEuJyOfeGpqeE0AcEc7aeGIwGt1RWTIgW27sgs1dkI6iV7k78rG
+vd1TZmkGRpaf9KtnAnsDqJKlFHUkVJCPlFQVvZTjUACzXGnl8wy1+5xQofIe4WiRjv642VX+ZjXT
+NVyFFmn5fS9jK1ec6dXiPLY5O0LD16gYe6oq5FUIpw7HHjEWGLBwIL0OylBXJyzqoDHrIv0vU+3i
+qS8gREQAUW/mqhYXe7Qzi2OgpqZjakqReuQbueI8pNPA0iywBZfOhIfxpFh1lPpA+2+hTUsLIH9H
+DZbhMwh+qiUXeAa0QKPfX318hx4kX7fEXsqIC2oGxZAmPjMPbscPeOC0FrPIOQKhEbfF6VONMUDs
+djGE0a28xsjRsV/hp3bqrm9dWAiqQY4+NV+9yVCg75eroBPtc/cxAaq6NNl2NhT66vh67BXVsSaP
+e/6hbcMoAMgYRrYwZDDNyW/VGCwI8wSC45AE3DFmyTEtnuo2JxhnBMABhMKbfCVpI1Y10hQwuE4m
+wXs+xEilFe4k/E1o3ArOWOxP8WRmH2knvPb6ZGNPTJlCra3hDvLwP0p2hUlyuq/dV5ptfOhKqg2A
+I9BgcFdw8gvGWpowaUXipNLB0ds7mY5kU74qat0riW10v9oRjxeWwXRC5bBW8fNGcUfXU48oZJXZ
+8OBV9JCvYVOjVLK3H2HNoovCNFFIkafiUR+aNDkDj/OTaj3zbhBTZzJntCuILjZhltjQmBFVEy3L
+St7CuwGkztLocfLyCqpEYesE+t3Yjzwe7xVTZ4zH9nxMz1zzWe8uUQ0WX0b7/fM3v6A8XiaPadGj
+kKmrC4ha4GU4jhTyyTCrLLWimcVj2oakoHAmtXFBFsGHA4ynBu1yOHiEA895S0qzWIw7JPDet5Vf
+iVZDoTkspKomlZ3H9hlWw7jAbzwvhSJsMc52iBCeuaySjny2lrgusz4r6CMsPiE4WeYpjv4PaClw
+iIl6rk4K5fe5CWZzmC/qo0jdQHPNITgORQsodK5eYKTRjVI6WBmQHGJzXjwfax5GI8DIke3ZsKbo
+aZItS30vjYbhggiTku9Z+186CpH/KAuMH/7fxakisDLxBi86coGjk0J3KTZ4dZMY8R86djSvwivH
+qoE7uyOUYdOHNHipUPY28Bb/q43iIdIP7ymrLeSpo5o6YiOBmoJHHgTVfKonbO/Zip01cjEV4Mi6
+NK03Z+IetM3BKqE2tYA5LfhaJe2QBvb4gdMdp0o3VnlwsYXjpm6Fxrh+BZelLQKF0zYxWaxrYt1T
++rHYnM7DC+mH4yTSYad/vYcvptyJtCkgGucVDA2DhHoKu6KNuH6uY7Qfv4pi8LwbBJ3e7M3jVGou
+HsCwsnCtaAqr0XR7RUfJGt/2vh8BpIfqwe71gIcMqUGDouJjp4EGG9zT9Hnm49H/ScRkww3npJIO
+95VVoDBZsB40cKpdEiUQT6jf53Hy2gKYCOWuw9zlDdrxJnBR1CSkP5nlV/m//9qSmE5m4yPq/BFU
+hq0Gz6GS6OTDXe+6J2lUFpedsnXwqbhs/CatE6075LMwgVSiDUek/kOIezbNluPrFMPks0JY+mnh
+zZdkWW+e6JKCUh08DC+XFstJT+6NeMKEPcUuu+sIw5M+jC1DUaYZMAVgC1k/hbck0KFQp1i9yoHS
+Sm/hDGY4yF7Hc4mxLklZnC4LlJsxs3VS6m+odgYktlNsYoZ6514gaCPAfpaDBUQWz5xPs4XD4sBI
+qzj4aoGtduldkWOK/jqUq6qfrw/OTtV4w8Gly9bzVKhDlLIx65V3s+qutooGavVSbDRMz2X1kMnW
+vgYLU4Dfm/6OtRdk+b585gkT4tnZ7lltOHLLGPslqZ+5m0JlwjmCJ2vPyuF+oCUpYXh+2ylBPhPe
+leIrJaya9abJQevjfLUXF5cARNBCDFdZCgsUd/e3crdfFxO7Q9HcTVqNeArxwihqguLnLMJlXU2A
+6PtZrpdtNkW4OytzAw17XxQWgJTS7Abrqdfpn20uq6R8sjG8bxAdW3aScxxTTMVn03k2kbgtKqJv
+n4yWt6GQsBF6sPbVj+ox4uLIKBYFNQ4jd5HxLVd6s7UFLe4/6bR3ih4j+m5JgC0tlsWQmDzYxkxt
+FBLArIGtmT82u1HXMqi4IaYmHqQJFLzWz88mkZuu0cKKq+ljst0uh4Cb0F6GFcrtz0KScsWYBYyP
+ex9ubRFngO6wEwvChT6fCdR07gj/Z00uI84EaFtkfqN3RJGkNNJ4rszIVWJ7zxBvagEWW4gZB1O4
+wFPb0lrcTxEw4MNXa3d585Tpgx3BF7dQdFayheKNclSxQllPSm4vNemxt7H2/KFiLimqL1z+kngp
+So5DSnbnrIfI0yDHiDRybK5qh0/X67W5CV8KU0KLPR17X+YE9aLPN0VqG0mqhH9j+5mdZzMVDmj3
+WLzZgfmcJs68oiYGJy/NhB9zDMdVn9WxjF/7kogT0h03UueeSOzA9bvSuxcsNrb+XCy8B/96YDQs
++4PWWloo0h0linvpXVmjrUqv6blS/elQAQJBcEZIftauyDHAOwIhrzoueanK2y9/ycxEsAEnrTsA
+KVli0xQ5JVvZHyZr5cajt6vQektpy+HE7WQsu4rTXJJY3eHhFrBHKuDztc4K1xS9hsLfqtBAKKGp
+TXrQYP9gA8cdtAV77wAw+nZZiDRPoJp0M5hDlgD/DqzIcbi0o8OpshggIkbA5PRGOzJvMRrLik2A
+dC1cRDoDyFYPuMBvtJ2XLp/2vkdzHzVEAAm/Fhdc2ODOCfR+ar6r+jjOL85P35oEIKIkf80bmG9R
+XHdUCIlkdSWXdxSejGRgWrHwARDOCHjEU5SCMHaGHT407YhqI6j6lI5zi/5u9Wdwalg5ZhDAzUnB
+88h4IQX5ZzGsx9y/iLLV6E9NsoIPIyf4xUjFtnC9MspVxFTD/UPCjrGUyLopOPcwTT9wH6q13z3t
+rPMFsqpY8q+HyvQQmxCSzrtF47BVzhSnmrRlH/ifF88/Y5vgZ2rDbGTxOJQX6akebxyU1r/LIFQY
+sWKqH7eQSAjgU1VgNqW1qKLXcYXciAnMOyCSqNU32eEcGbuRC1BkF3rJHgjYnDaUV0vHiL6Q2Pms
+sE/DcL4mAgNlKIwMBur5pOvn4Z8v1ikvSPxnyi7RhlbMm9jX2gFgzEW1B4EL5Ih8QbYWx2I+vSFe
+j7DL89knzYQsQSGmgZOlDymmTb/PCCWUk5NDjf5cKNmkoTVGlJnQCdfdeXHdeUfZDcGeS48gt2QZ
+FEgjShGYawX4m1v3tkClPXd48gLWNe6zjKYC+aDXvgzg2xnbhwqUWllOlsMs4iY1rCh5wvXZGR/H
+WV/e4u3iFSXWwYTdoXpaG5jFSIlPtcdWAysVXemLR6tPeNnQFoGn/+YNYASJWvnymNvLJIcS1Nzs
+epyTtVFZ6ZThhXK3NpIPfwIOZvPsCfnJeWgYi1gF5y+1Hyq9zL9OXNyaTlqxDb5PtUF8goNOJPa8
+5M8a35kA85Ov2PNAtsjeeCzaxj09MG1YwJ4M5oeM+I+McVlFpRfDLzrZGBKsxbwL5Bi6gZD/z1/F
+ThvWTJxG1wQczUXoW5uLTrEa2UXFrU2rEuxOfFAzAEbIeGvzpX3EHl62H+ntIBx5hlzRHNUwIYjm
+zALtsHa+qPVgQXbTxk9cxw7DeWpHPJH+39vcqsfL3PLC+US3QFq+CxvIJtUsDCt67JnAgRR7Lkku
+QaK0JC1jBUui7wPTVlO3QeuAy56utOK6b4XOuiU+F4N9abXHSDVYziKgK5hvOX2CENIBG0Uzhfya
+7xcmjMPshhl81eG0fshVojY+9IiULa8wGm+k95BEMVnz/5M56wGg700h/O1A6FLmvgwp7lkZH7yV
+4yneAAom09ZPdIRXQeV42hzRoIKH9zm0SrU4CoPybGgWjggy6GMvgjEDyqYW7X7FNq+pAZPYfUYY
+Fz1kCSQi5SDJ/+fqaDWPBueuHI71ybAy1KLsfQfYTr+L0jZ+G42qXi6N+wkxasd477ZcTKmRKAq7
+ZOdg68N/4ID/DYSDRsEO6uOXLx9Otfi0V+TOqxzMlo3ZI04kSg310YVcWGsPAI3mjLwY1hWYqbKt
+iovL0rZlwT74Kcn11KV7C15OA6reEzImLyOgLpFAT+/uVefSh5McyEYFjYx3e6VTikosMVcJC0JD
+nzfGNaAJBlEu7IPr411yFS66OpyE0ztBJAuDyshx4yZR0rkZkEkFoH5ECEQNyXrQF6nwSe2hP8T6
+uthBpen882bJ4IrlTXvYp7J6lL7dJzCGgQMweAJbvaq6GUG/1c+6ndyX7NqO3CalsB9aHJmd/5cq
+R9ZjrxZ4b2p3Gopp4k+C4pYa4yyjozaGlBKqQ+ldBJMW5jxR1R/GLIwOz3+chybMYKTMJojPvP93
+fYwEXtIFkEUtVNVIhL+1MOrE6lDbEpN4BrZ0PSWcuMqbtMh3tZFp24wMMuwLwTPi8ZZJlddG5nrq
+kVBHtZ3pdHBTQW0hxwiwWqvCjLU97/rI0+HCuf9OimQCKi7zENajGCNqSTiUtNcxmhpAPpvYwGoZ
+C82mISYMqpJKS7clwbQ6adflLKPgT1UUXFpz2r095TFSZ6suOAbeyaiAirQfwfs7pWfQ2SO+f2YC
+qAIsspwlhIiplrwEgSifrr/z9rgrQwSzw9tHBRaY8Qp7CQ4Xab5USnC2kBVVVUDn/X6r+m599car
+8/FrRO7MF+lo0WbVA39rtDLj+jIANevMJ/zQNNNz5AAqPoheuw0AEZgxsSWI5OaEs2ZwdAnH/wZX
+GC+aehMEOwl4aUWSRRqe1/JR7sDNnVf4fpzUfGcPEzlYWzKk9P7RHrYP2dgY1GwLge/UBv+wwsa+
+WwqMh59uxBWvMzWHIQ5/337WUulj/nLeR5OSCiDwnhpkMxeLFOLu1id34kAAwb9ztUC2b9Ehim1k
+IiyCYAiCR8czdHkmJhOPwRLlK2hIaUeYlIhWNMWswwtFNofs+H7IRNV3bR8FxggEpKImim0aCaRv
+V/wN2OZxu/y4ImZwl8uP7rSk+D+IMstA9RWbr92Z1RNAcJ+Q6vqYfC/3iUyJw8TrVYEYCrU3yxn+
+xVKk2OFRuVOLDcR0e/322QcrtvbowSr5Ix3kx54jkNj1SRhJFBRgZ1fmRsOnz5nCDnr05HoykJ2S
+Lya60HRF0XYrqfmFbhepQe0vY4HWCAre/mTjtYd1wtV90G6UDbnALfq4LbF3KMyep9dN+mdgrT1s
+IOAyh0XAniR9IK5nNX3lkplySPE5nO+3aYzf+vB73Y+BPRJ2DIfgGmJiH5BZ5PAkbcgB0cVp7upn
+CMGk+Q0Sh3UW9+n8dZgKcIzbmds4HwYSrl/MQ2ufIZk0Gkky8Zmxfc2qXj6n9sLd8XF/w2kFm233
+DpSejq3mb1mZDvPgdGnjo48lR/6hHPyynWaKcQBcR8y1lAInuedfWM4b15vmSx/zyTJ+XIGRiQcl
+EWKwOEGTDiCptpucA/uy5JVvvTOEOezZ0MFv5BjSH54VFpSFAj1U0E8JCrwCI0t9ZYkN0MNZ8e4V
+wGsqCJBKaNBpwZ792uVTSfZz0ZEyqFNPXGKdYZUQ05eHna2eA6KFT7nOqc+LpV5guub4lg1lQYgw
+ahkFNrbrucUBSbpjLQsqlj1Y+ROUV1z1wO3aHewQQOLmNYv9+PE+uBsnkqJ0Cl0hrPAkBrG+FM/N
+ELIsHJUNmiiRoh8vvdMIuHYqnbTfircaF7JpoIOhMZuY9strQxFY/S7tlskXQX2tR7xhX1mSt347
+jmzrTtZoDywodm+451M2P+T0CkxKW4SUlIbkew0UOvbvAYlLdmomwrDe0i4vZFM0SA90giQFOI4t
+oLc5aAiOlgOOdzXqjiMShIU4pK64tXgVPOGOGcZhBLAhCJhYg7qEyZAqzI7u034rotdxzakw0hWv
+PKXyf/B55fQRasD8+r+Z3SWi+m/p1TdkGiFG+Be6eSjEuloF2NADUPRPnjs8quxX6uUzIa7QfXwi
+XUfraRC17Kws/lCKPgRMM2NkA/G4A3XE+4R4J38cEzgy6QxHqy30O5tI7K8zHgHg0UeD+rI04kND
+eb4Jk6qXSOqMSCRc7nJI0z3SBjskkPH8W676efOPUntYspzs+omiZA9EIpyASbWytnb9ZlYDmoFT
+8Gafhp42lSqHlL+FzudxcM/R3qZbZLJjVo5YLsNeHK14wusdBjZLFQt0DBeiS2lfaRaBRh1XP448
+KGYaizn9En6gTs1TOWaPc40H+cuZOQ==
 `pragma protect end_protected
 module FifoTfe7Bytes (
   Data,

+ 483 - 92
src/src/WrapFifoChain/FifoTfe7Bytes/FifoTfe7Bytes.vo

@@ -2,7 +2,7 @@
 //All rights reserved.
 //File Title: Post-PnR Simulation Model file
 //Tool Version: V1.9.9.03 (64-bit)
-//Created Time: Mon Nov 25 11:42:49 2024
+//Created Time: Fri Dec 27 14:45:32 2024
 
 `timescale 100 ps/100 ps
 module FifoTfe7Bytes(
@@ -36,34 +36,50 @@ wire Reset;
 wire VCC;
 wire WrClk;
 wire WrEn;
-wire \fifo_inst/n20_5 ;
-wire \fifo_inst/n26_4 ;
-wire \fifo_inst/n417_4 ;
-wire \fifo_inst/rempty_val ;
-wire \fifo_inst/wfull_val_7 ;
+wire \fifo_inst/n24_5 ;
+wire \fifo_inst/n30_4 ;
+wire \fifo_inst/wfull_val ;
 wire \fifo_inst/wfull_val1 ;
 wire \fifo_inst/wfull_val1_0 ;
 wire \fifo_inst/Full_1 ;
 wire \fifo_inst/Equal.wbinnext_0_7 ;
-wire \fifo_inst/rempty_val_8 ;
+wire \fifo_inst/Equal.rgraynext_2_4 ;
+wire \fifo_inst/Equal.rgraynext_3_4 ;
+wire \fifo_inst/Equal.wgraynext_1_4 ;
+wire \fifo_inst/Equal.wgraynext_1_5 ;
+wire \fifo_inst/wfull_val_4 ;
+wire \fifo_inst/wfull_val_5 ;
+wire \fifo_inst/wfull_val_6 ;
+wire \fifo_inst/n569_6 ;
+wire \fifo_inst/rempty_val ;
 wire \fifo_inst/wfull_val1_2 ;
 wire \fifo_inst/wfull_val1_3 ;
 wire \fifo_inst/Full_1_2 ;
 wire \fifo_inst/Full_2 ;
+wire \fifo_inst/n260_1_SUM ;
+wire \fifo_inst/n260_3 ;
+wire \fifo_inst/n261_1_SUM ;
+wire \fifo_inst/n261_3 ;
+wire \fifo_inst/n262_1_SUM ;
+wire \fifo_inst/n262_3 ;
+wire \fifo_inst/n263_1_SUM ;
+wire \fifo_inst/n263_3 ;
+wire \fifo_inst/n264_1_SUM ;
+wire \fifo_inst/n264_3 ;
 wire \fifo_inst/n4_6 ;
 wire \fifo_inst/n9_6 ;
-wire [0:0] \fifo_inst/Equal.rgraynext ;
-wire [0:0] \fifo_inst/Equal.wgraynext ;
-wire [1:0] \fifo_inst/rbin_num_next ;
-wire [1:1] \fifo_inst/Equal.wbinnext ;
+wire [4:0] \fifo_inst/Equal.rgraynext ;
+wire [4:0] \fifo_inst/Equal.wgraynext ;
+wire [5:0] \fifo_inst/rbin_num_next ;
+wire [5:1] \fifo_inst/Equal.wbinnext ;
 wire [1:0] \fifo_inst/reset_r ;
 wire [1:0] \fifo_inst/reset_w ;
-wire [1:0] \fifo_inst/rbin_num ;
-wire [1:0] \fifo_inst/Equal.rq1_wptr ;
-wire [1:0] \fifo_inst/Equal.rq2_wptr ;
-wire [0:0] \fifo_inst/rptr ;
-wire [1:0] \fifo_inst/wptr ;
-wire [0:0] \fifo_inst/Equal.wbin ;
+wire [5:0] \fifo_inst/rbin_num ;
+wire [5:0] \fifo_inst/Equal.rq1_wptr ;
+wire [5:0] \fifo_inst/Equal.rq2_wptr ;
+wire [4:0] \fifo_inst/rptr ;
+wire [5:0] \fifo_inst/wptr ;
+wire [4:0] \fifo_inst/Equal.wbin ;
 wire [31:24] \fifo_inst/DO ;
 VCC VCC_cZ (
   .V(VCC)
@@ -74,57 +90,78 @@ GND GND_cZ (
 GSR GSR (
 	.GSRI(VCC)
 );
-LUT4 \fifo_inst/n20_s1  (
+LUT4 \fifo_inst/n24_s1  (
 	.I0(\fifo_inst/Full_2 ),
 	.I1(\fifo_inst/Full_1_2 ),
 	.I2(\fifo_inst/Full_1 ),
 	.I3(WrEn),
-	.F(\fifo_inst/n20_5 )
+	.F(\fifo_inst/n24_5 )
 );
-defparam \fifo_inst/n20_s1 .INIT=16'h5300;
-LUT3 \fifo_inst/n26_s1  (
+defparam \fifo_inst/n24_s1 .INIT=16'h5300;
+LUT3 \fifo_inst/n30_s1  (
 	.I0(RdEn),
 	.I1(Empty),
 	.I2(\fifo_inst/rempty_val ),
-	.F(\fifo_inst/n26_4 )
-);
-defparam \fifo_inst/n26_s1 .INIT=8'h0E;
-LUT3 \fifo_inst/Equal.rgraynext_0_s0  (
-	.I0(\fifo_inst/rbin_num [0]),
-	.I1(\fifo_inst/rbin_num_next [0]),
-	.I2(\fifo_inst/rbin_num [1]),
-	.F(\fifo_inst/Equal.rgraynext [0])
-);
-defparam \fifo_inst/Equal.rgraynext_0_s0 .INIT=8'h1E;
+	.F(\fifo_inst/n30_4 )
+);
+defparam \fifo_inst/n30_s1 .INIT=8'h0E;
+LUT3 \fifo_inst/Equal.rgraynext_2_s0  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.F(\fifo_inst/Equal.rgraynext [2])
+);
+defparam \fifo_inst/Equal.rgraynext_2_s0 .INIT=8'h1E;
+LUT4 \fifo_inst/Equal.rgraynext_4_s0  (
+	.I0(\fifo_inst/Equal.rgraynext_3_4 ),
+	.I1(\fifo_inst/rbin_num [3]),
+	.I2(\fifo_inst/rbin_num [4]),
+	.I3(\fifo_inst/rbin_num [5]),
+	.F(\fifo_inst/Equal.rgraynext [4])
+);
+defparam \fifo_inst/Equal.rgraynext_4_s0 .INIT=16'h07F8;
 LUT3 \fifo_inst/Equal.wgraynext_0_s0  (
-	.I0(\fifo_inst/Equal.wbin [0]),
-	.I1(\fifo_inst/n20_5 ),
-	.I2(\fifo_inst/wptr [1]),
+	.I0(\fifo_inst/n24_5 ),
+	.I1(\fifo_inst/Equal.wbin [0]),
+	.I2(\fifo_inst/Equal.wbin [1]),
 	.F(\fifo_inst/Equal.wgraynext [0])
 );
 defparam \fifo_inst/Equal.wgraynext_0_s0 .INIT=8'h1E;
-LUT2 \fifo_inst/n417_s1  (
-	.I0(\fifo_inst/reset_w [1]),
-	.I1(\fifo_inst/wfull_val_7 ),
-	.F(\fifo_inst/n417_4 )
-);
-defparam \fifo_inst/n417_s1 .INIT=4'h4;
-LUT4 \fifo_inst/rempty_val_s3  (
-	.I0(\fifo_inst/Equal.rq2_wptr [1]),
-	.I1(\fifo_inst/rempty_val_8 ),
-	.I2(\fifo_inst/Equal.rq2_wptr [0]),
-	.I3(\fifo_inst/rbin_num_next [0]),
-	.F(\fifo_inst/rempty_val )
-);
-defparam \fifo_inst/rempty_val_s3 .INIT=16'h4221;
-LUT4 \fifo_inst/wfull_val_s3  (
-	.I0(\fifo_inst/wptr [0]),
-	.I1(\fifo_inst/wptr [1]),
-	.I2(\fifo_inst/rbin_num [1]),
-	.I3(\fifo_inst/rptr [0]),
-	.F(\fifo_inst/wfull_val_7 )
-);
-defparam \fifo_inst/wfull_val_s3 .INIT=16'h1428;
+LUT3 \fifo_inst/Equal.wgraynext_1_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wgraynext_1_5 ),
+	.I2(\fifo_inst/Equal.wbinnext [1]),
+	.F(\fifo_inst/Equal.wgraynext [1])
+);
+defparam \fifo_inst/Equal.wgraynext_1_s0 .INIT=8'hE1;
+LUT2 \fifo_inst/Equal.wgraynext_2_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_5 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.F(\fifo_inst/Equal.wgraynext [2])
+);
+defparam \fifo_inst/Equal.wgraynext_2_s0 .INIT=4'h9;
+LUT3 \fifo_inst/Equal.wgraynext_3_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.F(\fifo_inst/Equal.wgraynext [3])
+);
+defparam \fifo_inst/Equal.wgraynext_3_s0 .INIT=8'h1E;
+LUT4 \fifo_inst/Equal.wgraynext_4_s0  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.I3(\fifo_inst/wptr [5]),
+	.F(\fifo_inst/Equal.wgraynext [4])
+);
+defparam \fifo_inst/Equal.wgraynext_4_s0 .INIT=16'h07F8;
+LUT3 \fifo_inst/wfull_val_s0  (
+	.I0(\fifo_inst/wfull_val_4 ),
+	.I1(\fifo_inst/wfull_val_5 ),
+	.I2(\fifo_inst/wfull_val_6 ),
+	.F(\fifo_inst/wfull_val )
+);
+defparam \fifo_inst/wfull_val_s0 .INIT=8'h80;
 LUT3 \fifo_inst/wfull_val1_s9  (
 	.I0(\fifo_inst/wfull_val1_3 ),
 	.I1(\fifo_inst/wfull_val1_2 ),
@@ -133,8 +170,8 @@ LUT3 \fifo_inst/wfull_val1_s9  (
 );
 defparam \fifo_inst/wfull_val1_s9 .INIT=8'hAC;
 LUT3 \fifo_inst/wfull_val1_s10  (
-	.I0(\fifo_inst/wfull_val_7 ),
-	.I1(\fifo_inst/wfull_val1_0 ),
+	.I0(\fifo_inst/wfull_val1_0 ),
+	.I1(\fifo_inst/wfull_val ),
 	.I2(\fifo_inst/reset_w [1]),
 	.F(\fifo_inst/wfull_val1_0 )
 );
@@ -147,8 +184,8 @@ LUT3 \fifo_inst/Full_d_s  (
 );
 defparam \fifo_inst/Full_d_s .INIT=8'hAC;
 LUT3 \fifo_inst/Full_s8  (
-	.I0(\fifo_inst/wfull_val_7 ),
-	.I1(\fifo_inst/Full_1 ),
+	.I0(\fifo_inst/Full_1 ),
+	.I1(\fifo_inst/wfull_val ),
 	.I2(\fifo_inst/reset_w [1]),
 	.F(\fifo_inst/Full_1 )
 );
@@ -160,34 +197,175 @@ LUT3 \fifo_inst/rbin_num_next_0_s5  (
 	.F(\fifo_inst/rbin_num_next [0])
 );
 defparam \fifo_inst/rbin_num_next_0_s5 .INIT=8'hB4;
-LUT3 \fifo_inst/rbin_num_next_1_s2  (
-	.I0(\fifo_inst/rbin_num_next [0]),
-	.I1(\fifo_inst/rbin_num [0]),
-	.I2(\fifo_inst/rbin_num [1]),
+LUT4 \fifo_inst/rbin_num_next_1_s5  (
+	.I0(Empty),
+	.I1(RdEn),
+	.I2(\fifo_inst/rbin_num [0]),
+	.I3(\fifo_inst/rbin_num [1]),
 	.F(\fifo_inst/rbin_num_next [1])
 );
-defparam \fifo_inst/rbin_num_next_1_s2 .INIT=8'hB4;
+defparam \fifo_inst/rbin_num_next_1_s5 .INIT=16'hBF40;
+LUT2 \fifo_inst/rbin_num_next_2_s5  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.F(\fifo_inst/rbin_num_next [2])
+);
+defparam \fifo_inst/rbin_num_next_2_s5 .INIT=4'h6;
+LUT4 \fifo_inst/rbin_num_next_5_s2  (
+	.I0(\fifo_inst/Equal.rgraynext_3_4 ),
+	.I1(\fifo_inst/rbin_num [3]),
+	.I2(\fifo_inst/rbin_num [4]),
+	.I3(\fifo_inst/rbin_num [5]),
+	.F(\fifo_inst/rbin_num_next [5])
+);
+defparam \fifo_inst/rbin_num_next_5_s2 .INIT=16'h7F80;
 LUT2 \fifo_inst/Equal.wbinnext_0_s3  (
-	.I0(\fifo_inst/Equal.wbin [0]),
-	.I1(\fifo_inst/n20_5 ),
+	.I0(\fifo_inst/n24_5 ),
+	.I1(\fifo_inst/Equal.wbin [0]),
 	.F(\fifo_inst/Equal.wbinnext_0_7 )
 );
 defparam \fifo_inst/Equal.wbinnext_0_s3 .INIT=4'h6;
-LUT3 \fifo_inst/Equal.wbinnext_1_s2  (
-	.I0(\fifo_inst/Equal.wbin [0]),
-	.I1(\fifo_inst/n20_5 ),
-	.I2(\fifo_inst/wptr [1]),
+LUT3 \fifo_inst/Equal.wbinnext_1_s3  (
+	.I0(\fifo_inst/n24_5 ),
+	.I1(\fifo_inst/Equal.wbin [0]),
+	.I2(\fifo_inst/Equal.wbin [1]),
 	.F(\fifo_inst/Equal.wbinnext [1])
 );
-defparam \fifo_inst/Equal.wbinnext_1_s2 .INIT=8'h78;
-LUT4 \fifo_inst/rempty_val_s4  (
-	.I0(\fifo_inst/Equal.rq2_wptr [0]),
-	.I1(\fifo_inst/Equal.rq2_wptr [1]),
-	.I2(\fifo_inst/rbin_num [1]),
-	.I3(\fifo_inst/rbin_num [0]),
-	.F(\fifo_inst/rempty_val_8 )
+defparam \fifo_inst/Equal.wbinnext_1_s3 .INIT=8'h78;
+LUT2 \fifo_inst/Equal.wbinnext_2_s3  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wgraynext_1_5 ),
+	.F(\fifo_inst/Equal.wbinnext [2])
+);
+defparam \fifo_inst/Equal.wbinnext_2_s3 .INIT=4'h1;
+LUT2 \fifo_inst/Equal.wbinnext_3_s3  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.F(\fifo_inst/Equal.wbinnext [3])
+);
+defparam \fifo_inst/Equal.wbinnext_3_s3 .INIT=4'h6;
+LUT3 \fifo_inst/Equal.wbinnext_4_s3  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.F(\fifo_inst/Equal.wbinnext [4])
+);
+defparam \fifo_inst/Equal.wbinnext_4_s3 .INIT=8'h78;
+LUT4 \fifo_inst/Equal.wbinnext_5_s2  (
+	.I0(\fifo_inst/Equal.wgraynext_1_4 ),
+	.I1(\fifo_inst/Equal.wbin [3]),
+	.I2(\fifo_inst/Equal.wbin [4]),
+	.I3(\fifo_inst/wptr [5]),
+	.F(\fifo_inst/Equal.wbinnext [5])
+);
+defparam \fifo_inst/Equal.wbinnext_5_s2 .INIT=16'h7F80;
+LUT4 \fifo_inst/Equal.rgraynext_2_s1  (
+	.I0(Empty),
+	.I1(RdEn),
+	.I2(\fifo_inst/rbin_num [0]),
+	.I3(\fifo_inst/rbin_num [1]),
+	.F(\fifo_inst/Equal.rgraynext_2_4 )
+);
+defparam \fifo_inst/Equal.rgraynext_2_s1 .INIT=16'h4000;
+LUT2 \fifo_inst/Equal.rgraynext_3_s1  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.F(\fifo_inst/Equal.rgraynext_3_4 )
+);
+defparam \fifo_inst/Equal.rgraynext_3_s1 .INIT=4'h8;
+LUT4 \fifo_inst/Equal.wgraynext_1_s1  (
+	.I0(\fifo_inst/n24_5 ),
+	.I1(\fifo_inst/Equal.wbin [0]),
+	.I2(\fifo_inst/Equal.wbin [1]),
+	.I3(\fifo_inst/Equal.wbin [2]),
+	.F(\fifo_inst/Equal.wgraynext_1_4 )
+);
+defparam \fifo_inst/Equal.wgraynext_1_s1 .INIT=16'h8000;
+LUT4 \fifo_inst/Equal.wgraynext_1_s2  (
+	.I0(\fifo_inst/Equal.wbin [0]),
+	.I1(\fifo_inst/Equal.wbin [1]),
+	.I2(\fifo_inst/n24_5 ),
+	.I3(\fifo_inst/Equal.wbin [2]),
+	.F(\fifo_inst/Equal.wgraynext_1_5 )
+);
+defparam \fifo_inst/Equal.wgraynext_1_s2 .INIT=16'h007F;
+LUT4 \fifo_inst/wfull_val_s1  (
+	.I0(\fifo_inst/wptr [0]),
+	.I1(\fifo_inst/rptr [0]),
+	.I2(\fifo_inst/wptr [4]),
+	.I3(\fifo_inst/rptr [4]),
+	.F(\fifo_inst/wfull_val_4 )
+);
+defparam \fifo_inst/wfull_val_s1 .INIT=16'h0990;
+LUT4 \fifo_inst/wfull_val_s2  (
+	.I0(\fifo_inst/wptr [3]),
+	.I1(\fifo_inst/rptr [3]),
+	.I2(\fifo_inst/wptr [5]),
+	.I3(\fifo_inst/rbin_num [5]),
+	.F(\fifo_inst/wfull_val_5 )
+);
+defparam \fifo_inst/wfull_val_s2 .INIT=16'h0990;
+LUT4 \fifo_inst/wfull_val_s3  (
+	.I0(\fifo_inst/wptr [1]),
+	.I1(\fifo_inst/rptr [1]),
+	.I2(\fifo_inst/wptr [2]),
+	.I3(\fifo_inst/rptr [2]),
+	.F(\fifo_inst/wfull_val_6 )
+);
+defparam \fifo_inst/wfull_val_s3 .INIT=16'h9009;
+LUT4 \fifo_inst/Equal.rgraynext_0_s1  (
+	.I0(Empty),
+	.I1(RdEn),
+	.I2(\fifo_inst/rbin_num [0]),
+	.I3(\fifo_inst/rbin_num_next [1]),
+	.F(\fifo_inst/Equal.rgraynext [0])
 );
-defparam \fifo_inst/rempty_val_s4 .INIT=16'h871E;
+defparam \fifo_inst/Equal.rgraynext_0_s1 .INIT=16'h4BB4;
+LUT3 \fifo_inst/Equal.rgraynext_1_s1  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num_next [1]),
+	.F(\fifo_inst/Equal.rgraynext [1])
+);
+defparam \fifo_inst/Equal.rgraynext_1_s1 .INIT=8'h96;
+LUT4 \fifo_inst/rbin_num_next_4_s6  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.I3(\fifo_inst/rbin_num [4]),
+	.F(\fifo_inst/rbin_num_next [4])
+);
+defparam \fifo_inst/rbin_num_next_4_s6 .INIT=16'h7F80;
+LUT3 \fifo_inst/rbin_num_next_3_s6  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.F(\fifo_inst/rbin_num_next [3])
+);
+defparam \fifo_inst/rbin_num_next_3_s6 .INIT=8'h78;
+LUT4 \fifo_inst/Equal.rgraynext_3_s2  (
+	.I0(\fifo_inst/Equal.rgraynext_2_4 ),
+	.I1(\fifo_inst/rbin_num [2]),
+	.I2(\fifo_inst/rbin_num [3]),
+	.I3(\fifo_inst/rbin_num [4]),
+	.F(\fifo_inst/Equal.rgraynext [3])
+);
+defparam \fifo_inst/Equal.rgraynext_3_s2 .INIT=16'h07F8;
+LUT4 \fifo_inst/n569_s2  (
+	.I0(\fifo_inst/reset_w [1]),
+	.I1(\fifo_inst/wfull_val_4 ),
+	.I2(\fifo_inst/wfull_val_5 ),
+	.I3(\fifo_inst/wfull_val_6 ),
+	.F(\fifo_inst/n569_6 )
+);
+defparam \fifo_inst/n569_s2 .INIT=16'h4000;
+LUT3 \fifo_inst/rempty_val_s2  (
+	.I0(\fifo_inst/rbin_num_next [5]),
+	.I1(\fifo_inst/Equal.rq2_wptr [5]),
+	.I2(\fifo_inst/n264_3 ),
+	.F(\fifo_inst/rempty_val )
+);
+defparam \fifo_inst/rempty_val_s2 .INIT=8'h09;
 DFFP \fifo_inst/reset_r_0_s0  (
 	.D(GND),
 	.CLK(\fifo_inst/n4_6 ),
@@ -209,6 +387,34 @@ DFFP \fifo_inst/reset_w_0_s0  (
 	.Q(\fifo_inst/reset_w [0])
 );
 defparam \fifo_inst/reset_w_0_s0 .INIT=1'b1;
+DFFC \fifo_inst/rbin_num_5_s0  (
+	.D(\fifo_inst/rbin_num_next [5]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rbin_num [5])
+);
+defparam \fifo_inst/rbin_num_5_s0 .INIT=1'b0;
+DFFC \fifo_inst/rbin_num_4_s0  (
+	.D(\fifo_inst/rbin_num_next [4]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rbin_num [4])
+);
+defparam \fifo_inst/rbin_num_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/rbin_num_3_s0  (
+	.D(\fifo_inst/rbin_num_next [3]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rbin_num [3])
+);
+defparam \fifo_inst/rbin_num_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/rbin_num_2_s0  (
+	.D(\fifo_inst/rbin_num_next [2]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rbin_num [2])
+);
+defparam \fifo_inst/rbin_num_2_s0 .INIT=1'b0;
 DFFC \fifo_inst/rbin_num_1_s0  (
 	.D(\fifo_inst/rbin_num_next [1]),
 	.CLK(RdClk),
@@ -223,6 +429,34 @@ DFFC \fifo_inst/rbin_num_0_s0  (
 	.Q(\fifo_inst/rbin_num [0])
 );
 defparam \fifo_inst/rbin_num_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq1_wptr_5_s0  (
+	.D(\fifo_inst/wptr [5]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq1_wptr [5])
+);
+defparam \fifo_inst/Equal.rq1_wptr_5_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq1_wptr_4_s0  (
+	.D(\fifo_inst/wptr [4]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq1_wptr [4])
+);
+defparam \fifo_inst/Equal.rq1_wptr_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq1_wptr_3_s0  (
+	.D(\fifo_inst/wptr [3]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq1_wptr [3])
+);
+defparam \fifo_inst/Equal.rq1_wptr_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq1_wptr_2_s0  (
+	.D(\fifo_inst/wptr [2]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq1_wptr [2])
+);
+defparam \fifo_inst/Equal.rq1_wptr_2_s0 .INIT=1'b0;
 DFFC \fifo_inst/Equal.rq1_wptr_1_s0  (
 	.D(\fifo_inst/wptr [1]),
 	.CLK(RdClk),
@@ -237,6 +471,34 @@ DFFC \fifo_inst/Equal.rq1_wptr_0_s0  (
 	.Q(\fifo_inst/Equal.rq1_wptr [0])
 );
 defparam \fifo_inst/Equal.rq1_wptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq2_wptr_5_s0  (
+	.D(\fifo_inst/Equal.rq1_wptr [5]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq2_wptr [5])
+);
+defparam \fifo_inst/Equal.rq2_wptr_5_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq2_wptr_4_s0  (
+	.D(\fifo_inst/Equal.rq1_wptr [4]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq2_wptr [4])
+);
+defparam \fifo_inst/Equal.rq2_wptr_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq2_wptr_3_s0  (
+	.D(\fifo_inst/Equal.rq1_wptr [3]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq2_wptr [3])
+);
+defparam \fifo_inst/Equal.rq2_wptr_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.rq2_wptr_2_s0  (
+	.D(\fifo_inst/Equal.rq1_wptr [2]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/Equal.rq2_wptr [2])
+);
+defparam \fifo_inst/Equal.rq2_wptr_2_s0 .INIT=1'b0;
 DFFC \fifo_inst/Equal.rq2_wptr_1_s0  (
 	.D(\fifo_inst/Equal.rq1_wptr [1]),
 	.CLK(RdClk),
@@ -251,6 +513,34 @@ DFFC \fifo_inst/Equal.rq2_wptr_0_s0  (
 	.Q(\fifo_inst/Equal.rq2_wptr [0])
 );
 defparam \fifo_inst/Equal.rq2_wptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/rptr_4_s0  (
+	.D(\fifo_inst/Equal.rgraynext [4]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rptr [4])
+);
+defparam \fifo_inst/rptr_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/rptr_3_s0  (
+	.D(\fifo_inst/Equal.rgraynext [3]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rptr [3])
+);
+defparam \fifo_inst/rptr_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/rptr_2_s0  (
+	.D(\fifo_inst/Equal.rgraynext [2]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rptr [2])
+);
+defparam \fifo_inst/rptr_2_s0 .INIT=1'b0;
+DFFC \fifo_inst/rptr_1_s0  (
+	.D(\fifo_inst/Equal.rgraynext [1]),
+	.CLK(RdClk),
+	.CLEAR(\fifo_inst/reset_r [1]),
+	.Q(\fifo_inst/rptr [1])
+);
+defparam \fifo_inst/rptr_1_s0 .INIT=1'b0;
 DFFC \fifo_inst/rptr_0_s0  (
 	.D(\fifo_inst/Equal.rgraynext [0]),
 	.CLK(RdClk),
@@ -258,8 +548,36 @@ DFFC \fifo_inst/rptr_0_s0  (
 	.Q(\fifo_inst/rptr [0])
 );
 defparam \fifo_inst/rptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/wptr_5_s0  (
+	.D(\fifo_inst/Equal.wbinnext [5]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/wptr [5])
+);
+defparam \fifo_inst/wptr_5_s0 .INIT=1'b0;
+DFFC \fifo_inst/wptr_4_s0  (
+	.D(\fifo_inst/Equal.wgraynext [4]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/wptr [4])
+);
+defparam \fifo_inst/wptr_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/wptr_3_s0  (
+	.D(\fifo_inst/Equal.wgraynext [3]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/wptr [3])
+);
+defparam \fifo_inst/wptr_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/wptr_2_s0  (
+	.D(\fifo_inst/Equal.wgraynext [2]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/wptr [2])
+);
+defparam \fifo_inst/wptr_2_s0 .INIT=1'b0;
 DFFC \fifo_inst/wptr_1_s0  (
-	.D(\fifo_inst/Equal.wbinnext [1]),
+	.D(\fifo_inst/Equal.wgraynext [1]),
 	.CLK(WrClk),
 	.CLEAR(\fifo_inst/reset_w [1]),
 	.Q(\fifo_inst/wptr [1])
@@ -272,6 +590,34 @@ DFFC \fifo_inst/wptr_0_s0  (
 	.Q(\fifo_inst/wptr [0])
 );
 defparam \fifo_inst/wptr_0_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.wbin_4_s0  (
+	.D(\fifo_inst/Equal.wbinnext [4]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/Equal.wbin [4])
+);
+defparam \fifo_inst/Equal.wbin_4_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.wbin_3_s0  (
+	.D(\fifo_inst/Equal.wbinnext [3]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/Equal.wbin [3])
+);
+defparam \fifo_inst/Equal.wbin_3_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.wbin_2_s0  (
+	.D(\fifo_inst/Equal.wbinnext [2]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/Equal.wbin [2])
+);
+defparam \fifo_inst/Equal.wbin_2_s0 .INIT=1'b0;
+DFFC \fifo_inst/Equal.wbin_1_s0  (
+	.D(\fifo_inst/Equal.wbinnext [1]),
+	.CLK(WrClk),
+	.CLEAR(\fifo_inst/reset_w [1]),
+	.Q(\fifo_inst/Equal.wbin [1])
+);
+defparam \fifo_inst/Equal.wbin_1_s0 .INIT=1'b0;
 DFFC \fifo_inst/Equal.wbin_0_s0  (
 	.D(\fifo_inst/Equal.wbinnext_0_7 ),
 	.CLK(WrClk),
@@ -294,16 +640,16 @@ DFFP \fifo_inst/reset_r_1_s0  (
 );
 defparam \fifo_inst/reset_r_1_s0 .INIT=1'b1;
 DFFC \fifo_inst/wfull_val1_s0  (
-	.D(\fifo_inst/wfull_val_7 ),
+	.D(\fifo_inst/wfull_val ),
 	.CLK(WrClk),
 	.CLEAR(\fifo_inst/reset_w [1]),
 	.Q(\fifo_inst/wfull_val1_2 )
 );
 defparam \fifo_inst/wfull_val1_s0 .INIT=1'b0;
 DFFP \fifo_inst/wfull_val1_s1  (
-	.D(\fifo_inst/wfull_val_7 ),
+	.D(\fifo_inst/wfull_val ),
 	.CLK(WrClk),
-	.PRESET(\fifo_inst/n417_4 ),
+	.PRESET(\fifo_inst/n569_6 ),
 	.Q(\fifo_inst/wfull_val1_3 )
 );
 defparam \fifo_inst/wfull_val1_s1 .INIT=1'b1;
@@ -317,23 +663,23 @@ defparam \fifo_inst/Full_s0 .INIT=1'b0;
 DFFP \fifo_inst/Full_s1  (
 	.D(\fifo_inst/wfull_val1 ),
 	.CLK(WrClk),
-	.PRESET(\fifo_inst/n417_4 ),
+	.PRESET(\fifo_inst/n569_6 ),
 	.Q(\fifo_inst/Full_2 )
 );
 defparam \fifo_inst/Full_s1 .INIT=1'b1;
 SDPB \fifo_inst/Equal.mem_Equal.mem_0_0_s  (
 	.CLKA(WrClk),
-	.CEA(\fifo_inst/n20_5 ),
+	.CEA(\fifo_inst/n24_5 ),
 	.RESETA(GND),
 	.CLKB(RdClk),
-	.CEB(\fifo_inst/n26_4 ),
+	.CEB(\fifo_inst/n30_4 ),
 	.RESETB(\fifo_inst/reset_r [1]),
 	.OCE(GND),
 	.BLKSELA({GND, GND, GND}),
 	.BLKSELB({GND, GND, GND}),
 	.DI({Data[31:0]}),
-	.ADA({GND, GND, GND, GND, GND, GND, GND, GND, \fifo_inst/Equal.wbin [0], GND, VCC, VCC, VCC, VCC}),
-	.ADB({GND, GND, GND, GND, GND, GND, GND, GND, \fifo_inst/rbin_num_next [0], GND, GND, GND, GND, GND}),
+	.ADA({GND, GND, GND, GND, \fifo_inst/Equal.wbin [4:0], GND, VCC, VCC, VCC, VCC}),
+	.ADB({GND, GND, GND, GND, \fifo_inst/rbin_num_next [4:0], GND, GND, GND, GND, GND}),
 	.DO({Q[31:0]})
 );
 defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .READ_MODE=1'b0;
@@ -344,17 +690,17 @@ defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BLK_SEL_0=3'b000;
 defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BLK_SEL_1=3'b000;
 SDPB \fifo_inst/Equal.mem_Equal.mem_0_1_s  (
 	.CLKA(WrClk),
-	.CEA(\fifo_inst/n20_5 ),
+	.CEA(\fifo_inst/n24_5 ),
 	.RESETA(GND),
 	.CLKB(RdClk),
-	.CEB(\fifo_inst/n26_4 ),
+	.CEB(\fifo_inst/n30_4 ),
 	.RESETB(\fifo_inst/reset_r [1]),
 	.OCE(GND),
 	.BLKSELA({GND, GND, GND}),
 	.BLKSELB({GND, GND, GND}),
 	.DI({GND, GND, GND, GND, GND, GND, GND, GND, Data[55:32]}),
-	.ADA({GND, GND, GND, GND, GND, GND, GND, GND, \fifo_inst/Equal.wbin [0], GND, VCC, VCC, VCC, VCC}),
-	.ADB({GND, GND, GND, GND, GND, GND, GND, GND, \fifo_inst/rbin_num_next [0], GND, GND, GND, GND, GND}),
+	.ADA({GND, GND, GND, GND, \fifo_inst/Equal.wbin [4:0], GND, VCC, VCC, VCC, VCC}),
+	.ADB({GND, GND, GND, GND, \fifo_inst/rbin_num_next [4:0], GND, GND, GND, GND, GND}),
 	.DO({\fifo_inst/DO [31:24], Q[55:32]})
 );
 defparam \fifo_inst/Equal.mem_Equal.mem_0_1_s .READ_MODE=1'b0;
@@ -363,6 +709,51 @@ defparam \fifo_inst/Equal.mem_Equal.mem_0_1_s .BIT_WIDTH_1=32;
 defparam \fifo_inst/Equal.mem_Equal.mem_0_1_s .RESET_MODE="ASYNC";
 defparam \fifo_inst/Equal.mem_Equal.mem_0_1_s .BLK_SEL_0=3'b000;
 defparam \fifo_inst/Equal.mem_Equal.mem_0_1_s .BLK_SEL_1=3'b000;
+ALU \fifo_inst/n260_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [0]),
+	.I1(\fifo_inst/Equal.rq2_wptr [0]),
+	.I3(GND),
+	.CIN(GND),
+	.COUT(\fifo_inst/n260_3 ),
+	.SUM(\fifo_inst/n260_1_SUM )
+);
+defparam \fifo_inst/n260_s0 .ALU_MODE=3;
+ALU \fifo_inst/n261_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [1]),
+	.I1(\fifo_inst/Equal.rq2_wptr [1]),
+	.I3(GND),
+	.CIN(\fifo_inst/n260_3 ),
+	.COUT(\fifo_inst/n261_3 ),
+	.SUM(\fifo_inst/n261_1_SUM )
+);
+defparam \fifo_inst/n261_s0 .ALU_MODE=3;
+ALU \fifo_inst/n262_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [2]),
+	.I1(\fifo_inst/Equal.rq2_wptr [2]),
+	.I3(GND),
+	.CIN(\fifo_inst/n261_3 ),
+	.COUT(\fifo_inst/n262_3 ),
+	.SUM(\fifo_inst/n262_1_SUM )
+);
+defparam \fifo_inst/n262_s0 .ALU_MODE=3;
+ALU \fifo_inst/n263_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [3]),
+	.I1(\fifo_inst/Equal.rq2_wptr [3]),
+	.I3(GND),
+	.CIN(\fifo_inst/n262_3 ),
+	.COUT(\fifo_inst/n263_3 ),
+	.SUM(\fifo_inst/n263_1_SUM )
+);
+defparam \fifo_inst/n263_s0 .ALU_MODE=3;
+ALU \fifo_inst/n264_s0  (
+	.I0(\fifo_inst/Equal.rgraynext [4]),
+	.I1(\fifo_inst/Equal.rq2_wptr [4]),
+	.I3(GND),
+	.CIN(\fifo_inst/n263_3 ),
+	.COUT(\fifo_inst/n264_3 ),
+	.SUM(\fifo_inst/n264_1_SUM )
+);
+defparam \fifo_inst/n264_s0 .ALU_MODE=3;
 INV \fifo_inst/n4_s2  (
 	.I(RdClk),
 	.O(\fifo_inst/n4_6 )

+ 1 - 1
src/src/WrapFifoChain/FifoTfe7Bytes/FifoTfe7Bytes_tmp.v

@@ -4,7 +4,7 @@
 //Tool Version: V1.9.9.03 (64-bit)
 //Part Number: GW1N-UV9QN88C6/I5
 //Device: GW1N-9
-//Created Time: Mon Nov 25 11:42:49 2024
+//Created Time: Fri Dec 27 14:45:32 2024
 
 //Change the instance name and port connections to the signal names
 //--------Copy here to design--------

+ 274 - 132
src/src/WrapFifoChain/FifoTfe7Bytes/temp/FIFOHS/FifoTfe7Bytes.vg

@@ -1,7 +1,7 @@
 //
 //Written by GowinSynthesis
 //Tool Version "V1.9.9.03 (64-bit)"
-//Mon Nov 25 11:42:49 2024
+//Fri Dec 27 14:45:32 2024
 
 //Source file index table:
 //file0 "\C:/Gowin/Gowin_V1.9.9.03_x64/IDE/ipcore/FIFO_HS/data/fifo_hs.v"
@@ -17,142 +17,284 @@
 `pragma protect encoding=(enctype="base64", line_length=76, bytes=256)
 `pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
 `pragma protect key_block
-r1TsxRyz+jtNe/906f4le5iFC+jH+/4g9JNQzgUBMd23xZMsyiZzJkdvLTif9iKLBaJ3BYKiGdzC
-X2PkbWeqQd7Upz8XYSh52Z2wWb3THx+LX5KT0Qiqm2o/zyLqDh82MfVKJC+C14eQPM8mReGJiIPm
-nk7MKFwtrLq28qL+SHqP4pjfAXeV2MesOiUhoORw33Gqkzjfb1iEzwyb9ILBORB7cv5/JzjKnbj1
-ouFtCkWU91+sWvru6W7CZUavQtsDwKjgv12variUqpWD0JAV9RGf7O4/RPb6iBXiS+BUno26z55/
-ByxOM+vj5gLABIgxBUkfu2HIgQPc/ABFQK6Ong==
+R1Pc2NRlfpL6JBzdUNvzAzo0dYA5E0IZATv5Bgc3qFYE6q5hfN/sZ/qYfRk0xpYFSPj1xj4OGi4R
+fj2QNCkb8Xk/wC+d6XhARQS5k9ABkdym96dzU8Ne7GL9cX0lXpleKKa9TBbDQTInwh89kuc7BUm5
+cCA/6X4jgH+uwakTf3FDmkWn8R+tfzXRYjzDg1jLw+rj66Zsw+P8Hlk7wSxJYgEoRwqQddJSjQv/
+R0hEuf7Z7FTJ4PAXczYOcHKhhOcQ4ANkhVHnym4Kvsde/Pvrp4I72046odvHRMosRof4H48WVAFP
+LFb7xwY66OQMj899BNdFZ21k6f3G+MLeiKJzFQ==
 
-`pragma protect encoding=(enctype="base64", line_length=76, bytes=7104)
+`pragma protect encoding=(enctype="base64", line_length=76, bytes=15184)
 `pragma protect data_keyowner="default-ip-vendor"
 `pragma protect data_keyname="default-ip-key"
 `pragma protect data_method="aes128-cfb"
 `pragma protect data_block
-RI0JAIuK3Gb3qJokEKEjbONNDlFb6ylRkMYC5X1/ucqyv45M+/UzhF2LDfI4pfMonPmEnVeY22Jx
-EkWQ+FZhyltQtjH52auPR1SZ6CUVus2uJ0KS/mCiBue1RR1rtct9DpAzSK916sSWO1oLEbB5/9MP
-HJc8GezSe2PVeQDs5o0Dj+e43j0jetxs0ZO5NrrHJAyYYK52eFC89X0DmK6RmPIaWmNwYNTewn9E
-nT/jh49tb89OHB2f3pluaAm740ataJk2gVeod5zC2FkQrle6rOdu25vqvyFkpy3Tlna8pZAD78Bk
-psWhn8wVi0juumhIUUlZjKOP9Dos2RiNziNyTboDV9ScXzDzCL19qw0U66tGBFNpLW6JbJ3DFAQF
-5kGSaHIu41nRov1KDWniGcen2rY6qj63Ss3Lf47XzDPXO+qcPOEgWznZ70zL+kY28SSVOsAe4Vg4
-s+bHWiM0xWVwf45FmXnXOCO+b3iuavnVqXQq35V2tez5LsenztekjnWMUWuCJgSHC/dgSR4LPhun
-cVXf4B3y4BtI3Ig09AnSTsdFj/5JuE68EeH1UctzuNpyey35vqsR7PZeS614mKA6Vd4kLFlZ+uoH
-qpx42QkmGSWXiU5lYpAxg0k/1uC7EGHJCF4zQg1fZ/8ZvFUGiSixzUyQ1UrpxCFN2kU92a3xhcDq
-8S2qN76Aa3bETNwRX9x8jpmaiCs33DdRWlezcv55/z7Ov5/qjVWhflxYaQq7zDeHKXXZt8275Il3
-PlYM3c6SK5heF6zae8bEEG/LSWG30dBNayJtQiHK0PtM20muCwqgn8OQyUc81PuztOwRHt9e9uRx
-8Jxg0YU4vPGOv574k/VtJjpNv+m4P+5exGt7Xj59q2mYgEv2nKZmbymNf4qYydV4dhEh4+8yb4+p
-vHxCurZNXC/bdVQ2jnzqvLIdo3WwQ/jq4wErRfehjp4kLnZ8gh6gITp2doCsS0+vI0cIqOSB+8C7
-Ywn40LYQJ0jhC22eDUWWGR2lkTJI8QNpAAQ93oxurvm1B3oMdh243LnSPnQeRwA+CS71RJGKGdY1
-bq1j/FqUO63SA36R/V8YVRgV2VCa3ZdbhHkgLXlC+pMD1t0zsHqejYxi/i+GADaeu3xjfNifspAE
-V+aWWlMPmlDe3TBqQgyRGDP211QNBu7zvMOzEyvHaP0/Rdj/A/SWyBgGO0Vqar0Od5Vf7jmpK8SF
-7wcEn6dP+uWKyJz55GMV4QGIAW473sMcdJGR+OsnA550IYnU7bImJFUOF6k7E9+vXrUykiODJAuN
-KqojV3C+rnV6PZyWUiflSNEI4YLZVFyldxL/wbrSvjK5e/xQ9RhxVBTCVp5sDzYDAerPvK77b1Ga
-4nRXd+R+i4KaDhXOwaWEpq6vL8VxH6VKPRDUQW+t6Z0HZHch5MttansHeUh2uq3fAL7xWbFZMKrD
-CQEMhWThLaZdH44MCx0rq++89AvcRU1CHOBewubY9ErhKrNbm+bjusI+KKrUT6OewiqJ50EKepbA
-7FLxsNSPCQWUgChJ490UjDXZB09mJaR0DrGwuy5MhseWd5ld75n9yVQDYMKQPLuZ9V2oSKrpl5PG
-FgM2g8UPuSCawHWLrhkKV9iLtC4cDfKWSEK5ocAbkETO24WyLHHMdcEI8YobY0wWH0hBmgVHtj1C
-08uXAnfJT60x9HWIbC2APLQUvGGlTf/MnDBivzOflyUdQxuKXUrnACVuDLmFZtq7/Qn/AlmQKFtI
-EmldMIyDIJTW97GBwwUKbMnqOXBjQiuZ9GuSkXP3h9j03b92YAMJVoWXrnwn2GGuMQv84hutlBZw
-prs3u4AAsab0YP+fp5oFcOPB7YXOx1wOYR6vKnecpPWb2UtX8Ib2OCylGkjjuyLsFbJtRSbavT23
-GlwwLRfTypPdK8N8w1spVcaYr8LbvsxkZtIHEQ4g2mXItv8xsKGcKW9W7rKlWRH3q+KyhOPhikvU
-GMejJpBs1fVtQBWGRODVe5csU94LBddjN8Tr3B1kB0SIQ47UuSrsOnC6Oq3ukCc9+uyxxUQePzqW
-ENVkn15Vm2UbDYcI8KdjUXlKMZSLrlh95aECxwWtWv6gN4uXe+hE4Dg5ZH5M+DhM+2zo10qhn6js
-yst4rSSo0sC07aXbUv8gWCOqwDoDGV8gKCdqUaUGfonk6JyVS31vkE2SnEPPxgy/V0EE0cOZ4dvE
-P0ikfaaXwgdlfi9BgqewngaNXCeu67s+/Stl4Tya++BPJqVB4E3AssFRTbjtajjAf1TwNaJZoxw7
-vOCgNBVYZlpeolEmIPnZIVbB9NuJP0Ln6Lx2mWRNsLDdlJSrprUGjyLzaIsu/cMEzUMI9MA7pdZC
-kmsnpv+KE/kbDBFkPacAEF6SmDJvUMiviz+zYpFkATtew4UDVPdMl9LDtEuO0KurvG4HKiL5w1Iz
-FoHglTYsGdwB6t1I/i/XMJCRgRxuU/GmpE4LgAH3oIQ02WT/HTr3XvQ8e4B7dtk7VNJg1uUx6tf5
-tNR86Mz9mofuV7+C9ncUMaddrSdcSsstCaKYROwYMSrZPQ+50TWMtMpNOIUZv5QKp63Kq2JbQLQt
-7uLIhdwK60qP1HhqGYjJOc9lpLpp9vvJd6xegdE8Are5xgD+aKRVdWeu8f4gstf9kNJyeDvsIYzQ
-hSzndlihGg+QIzEX5sRoAutBRjlDps9fZh/kdCh7fFC73psYcmgZvG0XiCC8XdpaU482f7k8L5Z8
-5cDZNYFxEbqK69xjdlAWHzGXxWpuY7Qmgys39JAmHb9OYb24C3patPU4vt2JjJGM6ToJ3t5mmmNq
-HbS7zc5+lV35KkyhAE1O7zxZqZfrtFIPFds88wJ092U5Y1OGMRT4BYFXf0e6331rjpbHWenVQ3Lk
-ABF9NsiFQlO6b2cflFlk6296KOT4A0DFCc+vlJXCv7SZczyiu135PLiizHFu2l0UGb1c6Ks6CvrS
-YCsqSRHlF4+Pd8yeXRPZaO9ZVzD4AzY+sKZC+MO9nmE7k5dDaUoR5JXfhkYFCPy9JlUp4i8FmzUs
-7GJPbbitXYCSfH1EVnYfY389I+V50UPSrh0Dz5teNsc/rd9qgL4pxrldRntWEzn8Zv9NT/kI4ZqB
-bXn1vhLv6dbn4tzduACSBpYxgTQZdaM79wZyjwBTsG4J639ebsen8875x1mxKUFlWxqM/c9WZkwq
-oJnHuXTHbyjoQiwuJk0psmv+deZvgP+ewUgizH2T0RaioQlb/8yXDsJOUHF6U3H8nmudErwU6AFO
-CjYhGHUaqdw9pebOgIl6jswyzlb0P7Ppw7ksrhuwpJu7Q6iLQ/ePOs3BxC5bG+6/a+0hV8jkvtce
-ftlN+j6FbPkWoV8O3728FMixlXmKRwgN37mZk69qrku1NOlFBY3VjJT2c10teXbnWgXC9cj9QWPT
-i/OwiXZXnKTWYAEXBzOj4Te1jSBPSpX660Z+pdR0APg6FCjs/K+Et6U2YzsX8y9UxIPK9gB7JsnQ
-N6d44jd9hr6XPkd7oalUNybfGudU+prOl1batU0vpU1ag3d39eSEM56PrXJcpxE6WuKlvabGtDpa
-YzBHebQ0zQbyuK6+a0oLY/Al3OoJL4kL0JySJoLllEzwzVrv/PnzUdjUOh5/embXMjkZg0SYibSA
-WE57pCK5PUbvkHrz2tDtwOtlLujQlU6z2MoomZBDq6zNI1B7tz2PLMNYOPqHcjAZWj1V8lAjc3nv
-4GniBnVNB9DVPVzMZLDxL0VGYen8K4bcr29aO9HrkbnKY3fW5602o9gycPay3+UdBrqkdN6Dds3V
-aefOPZ78qeFzTvzsMm7puD74aAVE3air9xmk4JpAeRMh60H21pz4qyNb8pCm+Z7hm9pMSIU6Jjms
-vZv1VCDtAgEuWHaozNPv+bS6kDH3aakCXkF7uLC3Nse4Mia4ykHKmT6/HP0l7O0Fh6z8E1+hKuVs
-e9y6X6YpwpdO31P/RNWll6xh0O5FfQDAwtDqniQe5j+NmN6YF4t09/BkqAddh6uncK2A5Z0Ku2dN
-Ttma9lE5YpwUujgCmlNp9+Ss2KzaHL9Mr3xqxh2BlIhKHhc9Tu2w+zsthomzG5aMM290pSUW+eyH
-rsNnd5Blt3TShoW27OA9oWTPgylP2bP6YTuX6/Oug22j6woQ3FVBznXFVEmwh7gpljTGI2a5sYW6
-ErO/+TYmh6wfff33yFnu0aj8IlqNdvMe2KlWgf/KKPl+3tAE8FfoRxFtyhhhtLOSv6/vVMw7aN3r
-+6+TZKkpr2gDv0U1qd+sfRi1jtqkWZvKR4JnX+4oNl2fese4DWRTGMwiinYyZDXzvcpj9atlkf4H
-Ap43prbQQKIJm0fKVlx2c1Yx7UeSOj4BuRGHD5nd6Kgsqc5t5mxGLbhwkuLQVlbXkC4O299//tBt
-v92mSolCNIQk8ibEUWJNdhd7IwiNOh/h018F73oG8qAmlUdBig4lehCyaSrJWV4NCtoX/n72Uomz
-eA+dfQh/o2T0idy/HSuwSxi/iQ1GljwymCU46gNF6K9S6uT50RUgiDeryL0ztzQ5KmILsNB+wkGE
-KdmoH8RvcrTYnoHd9nBXu4b0Y8fWLKoh0MJT0aN7drXLw1zjDvlQJuoo2OhRXdXyxs1d+slxn2bP
-xhnxmOFRonin2TYKGLFGPCG8KGogI4Zh0D79sYDMRvkw9lVl4HkXYzj9HhgtutSthUNcLJJOFnQT
-HUOixmBlaBxaL5P6rzCCnXDnJ91hH/5gHPIEZuXnsKzlEX7lZesnEe/nN1RzLh/bkUy0r8BuOAVA
-vyDUtFw4mpAurBO9qx/3nJIQdl+Ucqs2I9X9wmKlRvVuHMtPpoG2VAVdKgHmZxygaCsGoDS+ZHJS
-r4paWROu9QRwVRRd2REO8/3Cmi9NYUkvebnyqYIbBWeNGe7eshCIaw3KpBl5vfayWhoYPrQJs9BN
-H9/SeI0mr3LddxiEBfKxFZxZAhyP/DqMQqvAj2ynYftfWOPfJViJzEz3zx3gy6yYDo5j1dzDQaWx
-6EUJw9Sf7orBfbrcJvLKxA0zbhzi6+En8jbTCt8hDJ8LvdHEwNzrFaqwS7r0eC2G6AK3jA3kGrPt
-ddh6KFwW7VrVhILhcXozwpQEsPO/hb6yZ37lbwYW/4fR8UeF+S8ipM0N7ZhYTHQei6MxiztvXMR7
-ds3V8OX3LCrO7SsfenqhPN/mAuEKxBBilMXl2Yxjcum6mxWbQHfpxQafIcBd8vAGUQEMksznLCZC
-aqpvlGOE9FAIK5mkcmw+XrMYjkyEWNoGSU+YCOR1QbFyo5E9AnVjULg7f6u2jbf6FZMylIxBApqk
-33zaQenaAioJ5s0c5m+rXk+n0HS+iLr8/VftG4iIV35ndYG9h90uaNe9ZRlNo9fw1dUbdBsO1koT
-iB6oFviAtEiRuIEs2wCbYTCoOfdeqL1Mhp31QtAzfhFFomoa9gU248tGgYQl0N1Wv8z0rOjjxLXU
-19dCCR75kDMFPYzHlrgMU+vfvfsX55XajLOX0MZiyv6e2lK4gghS2uECKLCGvzJHHfv31+DJL+0N
-RyiRR8Z9KC3DQ23pxMt/lbc3c0Ri82tmb+gYTun/15q+itm+2gOxcwsq62J0wdFO6x3X9CaLk6FP
-wqOyWlowWNZlv8OYrE5z8aMnvsj0LyhF587IywfpwxP83aNimYd6+kFTJFIKvZ2zjFU8DPRbK3xv
-Pidq5UwmpXFRFwtaZTcHJKJWxB+jcmYoNX0ZBH+QUWX/y4+dEB9SVRKcqJ+RX7B3gQTZ4NFVaeMw
-qFBxoH+upBvkqmPrINdttRE1W86/37bphdK44AxbqUHVypIkU1zAC6hz11T8i4NKPSS8w66okrN3
-0kUBJXF5pv7qW6Q3RfglRzXK3RsyaH9qoOPapDmyiftJEuGtY2Fio89cIiy1zDepfEFv19ocFaRi
-8FiB1aK/ivd4W49bg8Pi0bwhfGP3L6J1fe0uK78IhjYgbuxUHKbeUzsPc/gYJ7w8WK+ROX/N/WYd
-KV35iCKeIM9Pq3QWutKFKGDyclsg2slUI1uA/XidL4AfWhMDuk/GOONkQnQ0cVfNrReViAJLKwnk
-SX4HVg2QDkgSaVzav8FiBMdEDOfDeu6b1Ddx62S/u/mf1U35iLn1tnNHmm53HS3ySL/ju3wTGGB7
-nUNAXVSXrE2wPJNxgNge1syxOBsx2LqOawM8BqkzcA4Nq/ETTVSMY8XihQLjU/9AoX5FxF+WeMvL
-N7JTqyVZolaWgMD/IiNUkLfyBlyMG5dFwm8Aa5CbLlCZh+Qq2GddQ9OiDv3EcV/b4VMJmKnJeQfQ
-DCQezP1nxnZAPONktEXqPeRPQQrDpXBx0Z8t8Uw4OjmWZ6Gs/l8ZqD/t3FIrjqfXbfXHeVNNo6e9
-kOab6d0DU8gxzMAcmhl376l9Ehk+UMtVcIyn2uyQHeUbYlho0lKtPdvmMQC0OeVFVLDq1YyZhSFV
-a6tXZ/HbtM3kZTL9cr4sbY8HxAIMGSgr00cUPb/FgHolv67NInRlgzBsJU8r/tMNpHXeArsWTdCu
-X5WwUtgeEITTZncpy6Y6Pl7tT8bCo+19u2KwRageLENuq9Pz0CrxjYSubOyc9hvgAQV47otOY7Bv
-86ve0IvSL6RDV9tbFjEaLxQ5mIbzhlQU1u4SdIpbPlkou22bmt2YKtKJY2VX97QoFsfHOUxoujzw
-9/6WTxY/KvAK4a96tyTP4cdsOgkfXyqRuSQ1igIsreeZeXlRWOI3hUH7FfOCTeG+e1iE2PruyVah
-pQwk7JvGHZDaVLfyHG4beZy7fmT8oqex9519hO2ptwWqllPgEm9wc8X66jow6gtOOWDB4Fh4coam
-OLu1DXDwK9v1XaQt2XXFmVz/SkfHHy+QNQm+VV/yqwJu8wukwVgk9B5vX9xuKcwHdCcAbZFAQURV
-LjLjSWdu99smV7MDZFi5OaMS+iAXdWYYxNKAOmiY6GrKj2ksIwtIg6XXfIja/Fw6lkucHIPQyI+j
-6cSUT/zg0tp41LYpkzvbxZNxUbmL7ZYO9mxLyj4WcxSkIQ8KI/nckgDcsIHlJycKdVmo4oxQhVRS
-4nvnJH+y89DmEgpTJa4ed8oGhpUEHEvDK17zdzL4JyD75kPiY1JGczdb8ejEbRGtA5kXn+MLMidB
-aejaZCKtaAhNkSBLUpIIMdHEoRWspiW5t1koVzcY+5/MNhSPyNhU7y0IyXReFqse+Ct+rUXbva/m
-1FTKXS0DBkA7IjbZ2DDC/rlYWLBQVcDdumTehQZ7l/kNfCXxTAHpU1G48g6L0Z1v42WpR5AN/N/a
-hussBfeCCDHK8ZWjiJ/dDT4JbA5117IqLRyq3wRjF0W/f8qgWO7CaBgQ34hi6bScsb09aUszEthu
-ZTWDoeTJLhVg2DqTdY/Otg2TY5PGD1EaYegXKoPXTQR6/6b4MeCxPQu29fC1TMZWlVo73OT1nKQz
-H/wMw/6wujKTpgH2KfXOhDWVOiHa6vExfZc+HpmHG92EUpQHXMu9st8G7pMA655C2UOzxMnliCHC
-vVSWjzPj8LivTpH0aNwcx0PnB3kWbiW1TMPolx6+31YUqqZ53QZuIxaatWpqJMd3TbwE35hKAb/G
-zSy9qeqOE2dVW0rEQ+aEKRmAFdNgi0ipg1KDtXv8XuvKzdg4eIFc8OlrBPVrlX2oS4gwazPLWggR
-8Li3hiE/0zRz1aLBSMW3kE/08soarXoFkGXWmrpNFyb9ho3PYZeMTdxaOeaHM8X19A6Ucsq9IaFN
-oxyGrwhGqzmYb6RczyTfI3mvpGoEi6z79PXiJ97XLkJFt+c9Pcz6Bz9TcS7IwKT2r1ovLlckD8/W
-R3IUjgQX9PiUaSOqRxD3teoB+ednuwOeIO9DvJttZ2xquHEFi3N6bHpaxk9hp+e2zv2RyxolZwYd
-BTNxKCtcZJEl7/JlGAQtqdiLqgv5+/+LjVnmAyhUKTct0GAk9KfdSHaurXKOFlw+fOKTc1xvKd4F
-mIIwJzdDqvKnnIqW7AVKsfQ3r/E8ayUXQuQuvCGXnSPdRZ7JPDuJTFY/8ddVNBQFYV+bc4Ymz8Vk
-fnwS1BaxUnn8RA8nGQIHs+sj9rJFpS+L6q3XYFtLVGCbzG2MZEcELAlGX3b3A57PxfblmMAyFtp+
-Bw3wpLlF/ZJT5Cs4wmEA+ez8qVuyUCDgrUbdK/l/lu/a/F84M3tXyGFOFS/oJ2+TsqjvMLDU1GSQ
-OSbU+ADb9WwQsP10QJ8RNC8rUL3JBDRU/YLY7siRZr6TCMoi4GXtLFe2ljuWumN1qDi/LAA9RYxS
-BZ50uURgB2O2dwHuOXUBtI8yKqJdvZGmyRLXuNSyzJA3jNAiArd6HC63xqfgq+/fZ9m5MHJF7cu/
-Sj7M2hS/eRZry5MD5OtpjcWQh3rdlP6UcVRVZAe7Snw5WWmpu3ZAyt5bR4zOD1rSsMR6Q3HKAIpB
-M66QBQaz0pUbHTzeoABpFPg7BgklUBB0RCnZXH9t8712KOV+2kZ9efPd4+5n9Fr6dznEXgwUlcKF
-aQfS2CQ6yxfcl6jm5fBkbwXGSJ7JUvWKfaWcl5cD6dh0hHeaV7L9UWnrDXhKmY45aIefuwMxV034
-mIfBMt7NMpVMfbkA+Gbcikody06Gzre5B3W6C+p1gWARhGb3rscwtauz3xL5Mn9YiBwrQbzU92cH
-KUaMkbjJ392cgTs64x1WP5OVRagGJxwOI3XIoeiRd0ZopeK5iJHn0oQUZMxMHcIhqqEapu/vnA/b
-STPUirVrGrKSKG3hmiV9HtdNNdvaVe0qF1N6rQu4fq8LV+XYARFiyB1X67b9LTa0igQNI7uXHBYp
-A616R1VDqY85h4n1gEGTqON6vCqVYDbWAP0cZRn38ey07ETsr7rRG6Ss2CGHEmjsDDaJVkVJGQzT
-sLS2Vsa+BhWKJjBs/2M9sWpwkRyiS91DF+nctn0K68/JuPc2cmP3y9UfaH/59VhxE+GqdjbMOpff
-qIsCjfcKyDD6Za6uWalImJzDEZkTjE4nM790e/gJtT9QaxG3in0xZSZXRG41cc5o3cWGtjLWPm5d
-QsObSnI6RrRjMNUiSIAI5p4josSI+OuNI5XdWaj3SE6Hv/TVEuIMM6sQNVHlb6TLMAI5+LbNDPxh
-Xce0X4cUomTzUzu3sVQrpkfl0lJkQa88wJW8LUasuvf+HO6ed7uTEiVFQxjeZRfh0dVbDXfb2s0K
-NHFWoej89GFb3Be1FKBn/7X30XDCXWpXQoScunOYDMsY0pGWIRxtenNpKHnq+L6XAo+XqZSRpzeI
-5Mbb77fLsYDe033NgZ6yTM+GgQy43HTZcjLiQmkXIi9OGhJ7VeR7pULo+FtEqyhRllT2KPyC5web
-ZQKB/pISlk67OVw4Ep+ljSyRGtlZhN4Rly4OVKFk/cXEtUC+V2LnXVNBVIK2ZwLPvz0q5eSSj5bD
-16SQofIJi4J7tY2rlyVbiYdIzb5SF4bLwQwSAbZ0teUXhPV1
+XqQF9dZQ/FwD7/YviJ5mpDPOUr/nbeMNNHbmDKyg8H/Z4nnNQIcWKJbI46I7OJTEkElsaYyLwXgL
+SgnmZc52Fir9lQT6DksvVg9ymNnfCwP/uDNIOoec/RS19JK//etod4d7wvEkf5w8XCmVH2kEoGio
+UxnG0OGQfXFXaTEZexqqxeWoq8t/2sF+q0Jbgxvp774V6Vzjj1awLY2GMuw8jiRQGPFgyXGz/9Zf
+PjO/t2x5VBPGPN/Re+oNM62/TV7nILuRWVl9/SeBilf/Aru1ikZOR+VfTzxyQx+Y9HOkHGB1nAU6
+rn65v8t83B2g2N9Mxjys1swxVlFb7MPIs82A0XZbWcJBvIL9bEfgB1qx9ZfD3RS1ULVSZs1aEDX9
+JvgAxmk6ALgX8KRUx0EAYSsaWjFiwF1ivHCCDucxMfhaCLGto5TjqN7YdXhrw/mLfTGu0cZzz3JP
+Zg20pivcn1AZGCk610ncT6sjosGK7hCzYP2EqZhiPpyNze1fegm3QHNYWe669dsvfkch5IMLLwUb
+Ur22Vog4Q8JnWQWJeIsQt2ND7JX/AliezrOloJIBn9S8w1Ks8PiRe1dtw4rcEvweV4LJhoh7iIOy
+bDumKfADUu2RX+2DO1sULPLyYFC4Iby0SuBYVoanqA73M/HrSEREA+adHHAq7LvHgC5wsEuYanh/
+0EOb2skrBPiWYfaju3tdA5FhCdmKg9FCFtV9nIsU5KdApAi3aaB7aWrGTLjiC9/Zw7C0nrGgimFV
+JEK2A35NysHJJ0H2JLC1pF6X1H1pK07+HGyEBvhvWDkDrWPLN2RbvdtFJtCKdtLEfGjBLEushDOT
+7iPqlyQrvYKKdgwn+LWjSY6AahBMFuIwvju+2r7dY6zX+c5g+rJIPGx5rkAU0oIX+anTG/wjPRUA
+hAhFrcpim5njl2yjlwdaHiZbY2FnXBOais+NiO6Ndg1F6QYuzq325q3+dMQm2AgphC49XCBWmHaL
+ccm0W93eum9EC4Iq3dd3rrQ6v+mqTMklVF+JcVaYZK5Dkq8Zf5lzCSxsjHPy8h/t4TTdDLQ2k6Lm
+m//r2shqFC/g954/v2G0sGyt21y1GPiNrno564fF7625SsXKZtn4RCaejDvc7i+JswplaO9/Pv0u
+jWVrp2NViVMWAdlpFI2mfxoG9/emOtg+B+2kspwJhS4KMjMhJyUqALbihCowtpeB+HuS3JtRgChP
+WNakL4Rwx/8OBHjxhD9HxpHd2+gtfGLErQvvk1m8RSXJW2UHiOuB/P1R79nT3DNimyITwUicYiA1
+ihr0Zgts3Iq1GVz2iVsqD99x28Q7gkgR1+kFSWTTRjNk+gK6o1W5Wzbp+LDkftDCwObU95Hz8Jpw
+cICEcHZUcKluJHuPoWdOaNrbWUK60dGl0gzNFfjp6nSTdRaC8ec6Exw3fsBIIWgV51Vn0JXz7TFq
+4c1Mimr9R1W6udg+RI1rKYCBsu3NXXJwCADBNPraG2ztTOKAo3R6RxMZ1W8mu9EoqK5B83i16z9K
+E8yLmeiZ8Ru/l59XfzOaILS7E9zZs3zmpPwNiGWoZBgHhKXQQtEzYFiZ3JcuA20c/ouTmOR4grTD
+tnp9IGlpKA36LvJ9kza5mZj/rA5cN4CWsJRUvc1lIlg1G5vK3wr1rJ2mRplUUhaM8Kgbeno33x3G
+HHGD7jkNQDsIPkl5O464eMy1I/k3xRMc9Ar7uwb9gs/GED2GtA0pM9YZuj3zY5TBBLPlerrEOcVv
+4daFJCu8+felzBzTHkrIjPAAKG5lmug3r2JNNlDfHKU0X5j9qxBXm8jUsLRb+jVlmfg6LPmUjOwO
+Tp/K8C33Z5BPJ3bbyt8E02Yv2tz0c285GprQZWAWSLTjI0qE9c21B1UtgzRGoBq4ichisyhwtjbN
+g3ZOvCStSCx75xtamwS0iNuVzCCaFf88YZBLL5767MytxLbkaMaANY9BvXP9mkewYIGlm5UoX899
+qWt5WlktKmehCmcucLsHv8HaNA125CdVSsNt9lsguZVDBkR5MOYfiVbrfQpGrOKC+/GvzHiMdOdS
+LmnF5OISQka3xXldbn3Y+yC8EoTbqXtHIl7u0n3hVg/cEgG2KIkgqPDeyEFXaIjrzFv9/kxDuajD
+ctc0/5Mvu2f+PlApZTxmeXPJzeVSZB7qTamg1MEzH6ZvjxMuZBm1YmjLgHw357pMDBd1i80oYTCO
+bNfWFQX4jt1a+fCkAyVhB5V8YphABlmIeal53YSTA4PwvwTKB6lG61o3fh6BzPKtX0W7zvcdChAS
+Firo4ixnhBr1Ke/NRqt+xaMeJz+Buy85cW3NEwkWtQNhPqdyCVCziuivGAxpJkAPLlswHjZ4NFyM
+KLyIFg7esnl0RuSx7/OUbmkctlq82CwIlGOu8+QgNR7uPZj7JneMGXuXUeSoZ/v0eIt+TEB0J1lH
+hAFmlmBw/LHikBquQ/m87aE7OF1IMe6/FzONa+HURbMD6lP3MHb5pNEMPRKLx0Ilw5Rg8XHFPErq
+2mHn3SamrWGIS13lQckeHQRndoQtSS25W8MLuNvBZeNDBJU4s7R/uLxZZ7MmiOwL5hNkExk5C7wk
+I5sXfyLGFor9+wyXIp36IE3h6xPjnWrX9MvPMERtchymIEmxEG9eBM9spQgpPwXyPjbL4mshvM2M
+pmc/VxP/0Fdz9Fk6YSY9pIq79qSr4hnO/TBKUm6dN1lD6nbsm8kIrYHs4f5YvCK3pSWwMZ2eK/OD
+Q8LQLif5rMLVZyANv1mI0gvlYi+QHnqfTdAIrIMEHy2/we8tcSGzZi93xmpW+8T8+UDQZk9DXqyA
+BvNVKvpvVU0gvG8hwXY4UuouZrdMVElLpSMQBMUZ8vJuT1/kFJUNsDoMoYF6emrv44QLvWuJRmQl
+i3YbwkOwQ+JjUsxXKxd7e4ajzqZx1SdPOBYcFZkCeikrUdxlG1TYMhk1JCQzg+NTH2G4zChR/ohP
+yzTAT9Kl3VaGVkNVwilcvcVk5FMO0z6EmgQvtSs+71B4Nyr4jJ6FMAtgnllwCkj/rIaXbuGtj5w6
+Yy6+RCF6inp7Bh/71fhzejCl9uq7rpMY/shPsny8t1qpmM6YYiZUrjgtOBMk8xg2Gb/7tqXm1nul
+smaQMJV4+sD/LnzrI3CAwG7msJV6lnrdUUtXonL4Y/9V/olItg0cTMdrv4D+8bqRMEvjOHdlEYRu
+CRVqnAQA4u9Vr/DR6h0wYsbE9YfKomxerCjROm2PimqVPGBvqD18/Ur8tOvM9thgeACdTfzvSeqi
+dRKoqwiidHMXoWgXXOcC7z3cn7lX1boUI+U44jlFmLMluPf/iyjT02w1pqPHVFh9SplU6hsBDCds
+MH2UOWZHcQxNi/NAkXA/KJumhm6lMG+xPGWYovb27QUxpHTvwQmRLw5RRdGGwqUqg83tj59V3nWL
+yAnsvjdgUgKzjjNHFI7lVGquNp16V1tzkcI2HRXk7ADUcAqATwPO+swtI/6LfWnRaWtoUoQQSNIx
+p8MHPduqtaLBshLu/tO9ucq66KBVZMeTTMAU8vSYtUKchP11msl56MhA4NSw2qM+6J4/izpuM+Kr
+9+1YxXCbyWwIGTxQ+Ofda5VI1cFbxDWfWHkfRjC7Z58NSxDEEf5bez6l1aP8p5dmtK0JRTQ9Xnw6
+10UURkIlSw0bN8EOYPdlKq7K2XjIZIdrvgizppzHeNLxt3BGK9pNew4Jrw163lvfdDu6dvEcdwKd
+NZKSv0rqRvq0pSv0CCoAKq09Ckt7aBW5qiule7Y/V7syFM493lJFVQ/xTUctEvsETeTFuCQXD5WP
+KGa7CVf33Yp3lxwN7o5sAefNhAU9iuJtIOXg0p114TK+Pmgg0FysuyLnjRJt7CFbC8m99mMd9791
+Schq0D1wbZv+uUDeknEAF9b3TPTcti1juRJ8gfoUJTcQKe3PKksXAKaakjcJdmwPWnsaMZZ3Oar4
+wsXoBMo72R9mdP6g65aCYUeHO1/77H/vYOlIElX4iY4Z5RKq5minXE0a+R/uRgUJ0ph3dqhRdSHC
+RXgCdM1lek2ClRQOTiDbRlFx6QDCCq8d/AqY/C3RCrMp+OmAyWqv6s60FMpbS623FrVLFa8bXsEw
+bdyoJ5+c/dKliqDGWRNVsZc4Ypd8+SJERUhhxOcdiVEt1z8NDaxF24zrmtg88WAlXbfN8gOU77kv
+461bby1eixqQ/sWmKWT3tgYkfSl+wdMsMF797IXSmbYAfRWZCXRRjAe85d3HehANxZXbXzXtm+Kw
+11naQKFVVQHUWEz/nI+uKGU7Lax5Jz54Fy4aK3g2LRKH8GLscw1tmn2JmK9WnBtif6xjeQl1CCM9
+rsdFfQez50h98aYVqy+o4amBg/pKMqSR0iVpbeUext9e2rNbd+cg+Tz06LToFTFqCYdTWZzKpv1Y
+VgPmIzvgBlrG2vn5oU5u2zMA2pbzEAJFQdQScdZRtfB/XySuug8V6y9bCBJwpZjG7kJcNk9Ptqd8
+eYqbR8MZU2LC9XZ6Or7Br5YoJpOXJeW3W/OdPAM2qUMisTXtxLEkMRyAVEbXLjhUt/9TCQ18zu52
+9ANBCxO480DVos8CNxzgmukbj3eWxhl4kuhkh1+zsSSi1YzirbScAIgXzzX4Dbukb6G1x6S70ztY
+V3F3O20GNLmjmJv7z8yXCce69YPSpu2W3+3UCzWpLKFKU55RprtYnTzY5lqcrm9dywcRtrHZDWQL
+dM0epuX9Ux4aNoW6SObSb9KRJJuvboTzUqwrpeTZrOdB3s2QSZYSowhN1hpGI3ZKGmDcwgTBls2n
+GWzhYeoDjUikFAueuav9XpnvpciWdZlmsNFL3hjBUWOzhdntJvUw1u0qPFY9Mqd0rQHKMil3CUS8
+DLSPNg6Ye3MsbwJtaY3XrrkHWKOOttPh5q1fBd60bQ/uITZFfL/1HSxQIiG8ddpHzT9xr/30mi4w
+Iwl/YjbJwJIUddqTomeH2QJPF+fno1kB8cj+eDgVKKK+Y9Uqgq+dVVBdeOZeOz6E2aNhbB1gGqBV
+iGBUyACDs2W/j9hMvYY0pyQIQxRSen8vkFzC/+jj8L98GDq4VDc4PIjf4o6aevbTcM0N+hPW20OJ
+VUxrdX/OcBWdSnMkHOONxd1SBaAamChbX7HNh8htCcmsWWvNA//0HDdJJKUhRdRc6w7/Aq1Gsfsv
+YNvfY2wW9QfGgFV7DY1y8fBKl8cw7QyyVrBWU8V+FcSEqPbnDnJzbKm/mBMmRWmncZoaIDrp9Cea
+JQyL1T7aKak04BbpoUTCpycaBMWHJKYz3nICUS4VQ9VGnq1B0u8X2Th6/5kp+TOGXknpX7Y1q+B0
+3dUSB2ff1H4co9/ebQospIwv4joG0pWYde/wiDZe4ieoH49amw3xaFTYu4mDmCns7RmAP2KBJkxs
+Lb5ZKsWp6ebfDqCysnvhxei05RzDR02TYud/xmCPAD+YznDv7vVDeqRtzKRB/v4WVj8ncB9wKn7O
+LyCoqmLdcM3uQnPruUF4zj4cK6/laC3d2BV295rFtIcrS2KD9nTVMxoycFdhScYfFYWCysiHnXHz
+AwhRjfwd1gTKkBNrLOOrW9orqzokm6tF6+juUOzF5zPzQd7Ymse361XPHXZXwChnb9M/MWJZnOgB
+0zg/CEr9qrWYKsdiwKf5A939S1DC/F7LQian0qED62F4wf7lSIbriqCKEz4QC+48zkgytonkAWa2
+/j7yvkyvdrqIopp5pyeV1hbEb98k9TKpSFlO0shTsakMy8WgoOpODrMHTF9llXQP6T8+5LbsLJJL
+uCSpnhkGGO+Sh0yBfaF6zgkrl9AHHct5Rtz01wlrSYf7bllYWSXPrrpvWWlJ91zGUz06zu1YmVCH
+05iW4JxtcRKi6vWr7A9lQfO7+vHI8bLZJq91Z/pWBGm+g4rluQdx81E5wVnSMjFmqjT8ttm1TJI1
+Z5oB0qh6gwRnE/xrC0KId/FwGzlqrUKyDH4J3a/Tw0Obd3pCI2K/bBpinIEzd4ylB+QMrTMZwTyE
+yQlqn+fC19jxmiyYVu22dYbtDfyoMQmxLElxtjA7smSqGBI5rmJCziIBdt3NU+FraSGKnri4JkRE
++Fmzu167DGnSw+4IXa9ZLIuCNAOH0m3mXqPpgy7j8K5sk1nownKSbWon2zSIFvNDHtQ/cLLq7Lt6
+TiPMroczsTApUagifGu7FCgAXrDDOxQ16LfV77DZVr8BnlXICTmNfCPi7TR49IEi6r0xTievSIpT
+jBO/CGfO0to4O+xIsaDf9V9uLRREJo+gc4QHp/+ufGX3vuNHXVvAE1CGBeVEUF5Xj6t86ZMX1Avi
+SvFtNWPOZY5T0WF7QolqAJ1jEC+n+/lfn58Yka5xmD3DzXLlztGYF2z7phPXtvBb0FxN7IQXghjX
+Okn+ncndiTM7v90KDVAGp9amadPxu8bXkzaRY92WSNtC34LLbiwBbjTwf6nR8Ia3/IlMLojGeUIK
+WTPrjhyFqKAjaY6a9icLKQwfqnZTslhm/wQYZmUG5rPDk78VHAkrlOHKtq2eTr+GYRxKHJuUdqKi
+5gVo4t7D9Rr37/uH9IvaeIDM3m3TLHYq6P29PfGGiedJmKJM8vbwvpML8aOypiujMKkJa7F9oThj
+G6moE3wJumw0t6dUfN0AM8L3iumwxqUbWv60o2vsrPrXErKsxgORg96BkHwUAdbcjdNHVKSDkVFo
+ACZkB9EdyTIAnbgtt0zVlD+kRNxwpARe311OdtY1OpqMdDLJkQ0aHj+TbaDZCEQ2QyXXCi8Q//YC
+dir32tPQWfBFEGd/5o5EWmRjC56Yf63vPzrzWxZyE8AaRPvlSHJlbuZutOGdPEn7ho/F9QgPFEET
+2DAYyxud86ogRNZURoR21y+WABSWFbhF4ZfMy/7bHWdavDfljWnfjU1hFxWCcZypby0HQ8tleFJq
+25wnKBYo0yqGTS9t5bSPlPZhEnrxOLvu0twEdpsjWgrOsbmR+bF0dJk3oqIjFkP0N/jPt4DM6ghg
+AAfehmOvsyDFBh/YaGXUhACJtjQ+LFglBBiU/8nNKktAxxsylPfjZGiY+pQmOdVngY1ntEzmWM3o
+7c2IwJSRTvRCKYbT/1rOW0YVIiSwvoPbKWzU12o6++QAXnNVEvYROwQkMkBHlXnp0EsoPqXJrcYF
+stG/CxvoGDTZWQQuJXu4aRhMkbUlDs5Hs4bV4FfxIdnFhmDvVaKk35aCI1e7yigyZwdibjM/8lz1
+jQxV9J6yb8FPxT/0iU1bKc0j9mh0B82LARFrZ4AKYLchEBgTZje4UKICDSVVUmzD7WZOChbRp6PT
+xIzcoOPXCFlmJlQgH4AVmN7dRJYd0LXvFT0ODFMeXREQDJS/dt7HtL/kR0YhGOLG2z5yHcLvwoDi
+l3BR10Cw473hBzWPmtV5weQIGh8Uyh7zVOL6ph4Lpeb2KKz0WIr9c+2+dGo+MMVAfy+Aqkm3FQQu
+EVCaBNl7aKXTLQ7GMXiFft6ljOxULFQ0Hl5TwWawvJh+RsrDOhCsJ0H/FuHxfDS2ZWdqUaATzfSw
+B5X6lAEdQArko/8+o6dforSxxhbDb9BVZOzSot6R+y/K1FxhIUqhfY+Qiq/aEiscLvVyNa0Z7Bmx
+1e9RaJWI3xg8+fdJ0BUAfSKYxIK1d4P91dGiaVmJokxr5l6x0oB3pnAdfg2tgPYGMf+NPeiO9DDc
+O/cd+yMB4rScLk0EZIw60f774BzSBV0f1nWLeEdnvwYWT9gpBJhmIN6IJTr0f+Ffj5vuCFlhNdu3
+PnWkzVhYWrstRRQhs+26Ri18pUMWg8VqQAoj5t6NW0KbTbmZh36jb9MNquqIygDazZzhACOxYzNH
+iUjEIoc5beO3eYXDFq2XncTLkEn9DIn4nPsbCkaKONG3u9el4TDvJ6w0aFizEUWNZzPzLxN3phID
+iws0hvxhJqOADnpBSFBeqCpgM1FjbgxreWSMFqlfeo8wElvgz759CFiUwcH+PQF2PJ+sldSQ2f3D
+2ZeQnm8qwAD6eD1We5jooEZRK+LqVKsf3CaGZ/xJb96+vuxmygrRWyfy4ykLj1novqqKGqQcM2SF
+iNCbh4NagpbCvQIFQlPwDzsY7L1OYDT7pxGc92Sc+8LS4ejNKFIXo0kHEhlhtXVWrBZ7pYbiJFRO
+L2VBVWZiTQAtc9xTWxjTG7Adazlm+r2m2YiiFr/B3cE57czGPU2ijD8gmKLgquDxtr5RTD+//vjS
+YwWfqNdfdQOaL4OrkoBI4uA0ui1dnsnB8VlZN9gAEOW9y6BGO3zESmDG+JSxeN8+cLakMK+/528g
+Q+n6+MNCtEUHksNnb/bA7OtYr4k0nw1GvGTUUVqIp4Qft6NBbdYrdEF/pxu9+A3eQOxHDAJF6ReS
+soHB/y/ZsjY1qOHWibzH8IeqnJzJVinqT+Z73BaFnFGOMPiyAXSAKfl6WYvKfLy7k3VOP5bRDRpb
+MMzgpfzDKqQmXR1y94Rh4RQYxQuqNFopDDusWtS5nWjHFFZizFyuCQvLRil+m3sCHrIUQ0CEiOI1
+Q0Eb3LlwQ8jGZ/JgW9qoGeUOQ4XLSJKBt3a4lnoMUc8k86obJ5bP8F42cHaJ0YaUFu0RUyN1NPXr
+ROOr/f6w89xrrY19BIuJ7bSCbGBV9pDV01W47feaUTn6akkP/QKGb41j3OKEbhSzuEYiU1MMdfU7
+ftmDg2zANj8mLOkLPRaqIydE4uFcytFudauMJ94T6avJ7c7N/6S2Wi3jLy97+wWdD7ca8vXBm/NN
+grhKb/jDfJHdF1kRfjgkCX5hVhaId/ae7Rh8TnGJLuUOts56W9oVhSedMxNedKhCWcebYlgaJ1RB
+io7vlhP0waaHfco39eR8tFZTXZlfDchDgIOVO/K+K1pK6978i6Ab1hovlHB3pnbyQ2wvsB3+HHCN
+wljZ9JejGye89TcOo73yHbicvupLp+FVvbZeZrVKRB7efPhjSSynqAgvOhcm3OhSltDXL31qpUNo
+LPEvPASAtUTXtqnt4wPQCbedLIm+qQbpWBIptCcWzXDIqk4AUXvHQIB4T3GvTg9M01nDt8qlunAi
+ZdWVkVir7G90vcVTN/dhAqh6AzhIHc4x35aI3IywSJhSfSYsxwxKHnL2tt4rkT7QR2xtC3K/ynbo
+8REXdU6SgHpHbWCV7I/S/kMhw3mqiITai9D0INEnAdUXFPsx76onORTNbTHKNlNlbT/tvs92odcC
+7UTZPCmkRQL2x3hbKxJ/E3tb5nwgCw+nHjA9cc/88dBMoH3NdgS2qFJ2DktdEwbEIxR3d1uOsh6/
+Ij5dRUiWHWObKScj5SPSDrPN9/rAbWynmPy9ymUbQUs1hqfCLz8z+9tn+tSpgt95waBrjWAMxHkr
+BpPo7jZ7n0fTzBmnr0J9N9xndGb4rOwcMC2iQmRQPQfMYrVYoxOimAcNJxn7zlzxSVb6qDLG1Zwg
+jSzQnop7TbxJ0ki1BsiL62mtapoHjenh0PF158wUA6IZDCj1T6bQfPT7rhL4f2EVxAYsbiiFITFS
+09W6P+q6yvFVwQtKfdRro/wy1kDRyb5+kYuXUPZZnognJdywRDuLBBDUjXUDs63ezkdb7wAK9upw
+UmNKsNIdok9EVR2S0f/g0O3Y6sD+vNnhlDQ8eUqPSW6/vTAJoXker2ChkYplESVNl3ZY1PFWEPfs
+w3ZN+6TmK6auJ8w/z43oaYGOgoQOzVQlzczaOuZUpF1MqGPtCMJ887jklaNWfug14kVUwQYqw0js
+m/Zf6L6zG60xc7uWJiiek8+dOJhmqw7nnNvDP1zm9vdEVVW12+r7v62Bx9woR1JQpu71ajOooTBa
+rmh7oPxegTjT/BH9YOYpCs/9Tkr3Tg22GzZ+MuEfro+TBN5b2sn6IXfep4l3TB3qmnX6WqLeY3sM
+0GXFCYeQWB92hdEu4fGkwY6Y/qKXxCWTN3eFrJr39kr0H4mfCOWrrrc6LNvIm0HtmFAUd7YJM9O3
+RQSqU7ibL7DhrAe65sYzUFJyNPKM/fcmNf/EQ7AbojR42YrHD1syfVe7fjP6P4i7iuSHWKhgo9vl
+FN9vRcBJFSZriCKniUCPzey/vZydz8sWn5pNpgeqqdKlckXnmsw83gCNR/Ty3grp07I8vnFFQ6h3
+ggmC1SwImfIvyTQqseVehXXcFVvIod8HIi7NjGG0xDD6RW/MkBwUedJsC1ZijGrSOrGb2zptt2lM
+o3jC3J/Bkcdmi/1G5sOr4NZgtMVg36tuvF1Fslx5iixaFM12+HUvRFgvgY14ZK/mMQG/7iJrgrdg
+YyAksIRkBdMrlj18A4lMSEqQjjNSH7YPNhr6NoFScin4TccyJ5XHSv+lStJywvX27GcBOOjkjXf5
+mrxomCVdVUMaaxTaKR6Gmsala/dGT9tQi6T/cjlgkOTnTSvICEJx3Lk8oPQwsVWzPly9PX3bDiwc
+YBxZEEqcQHB1esCnboooLcIM4Wzi5VdyLt9IYCkaLvkzmCBavNydwrSRE5NrkYxyiVVo0cAV2QcA
+SGu5ItEu59EG9+9lTksfPUJ5XHtpa5MqJeJ0ItZC80PrfcGbsJplpb7PhoJ6AiDj7Ot49LwzjAok
+YM5SA7WSJtoV2CrSjAjVGhC/+pNXFLulrCoML0xKPHVn9uRpFPgYsma4raB8NXg/mxaBMiq+wP1r
+ED1hVkFv5ODQG/N1srgEtiBLW7fvDrPLJBOHiQujoRluN3oWQ6xPrFCwM4m9fDlz/FV/+wsg3H39
+ZhzjWGLLpFE7i07llegmlENQoFFBbhgtNJ1FBQ3/H+URFGBu/LyLhBAnncXgsySkCR6q7jh8SuQa
+Box2eICfB+k6G7Adokv47D3+7N8tMYTA71s2mM/L4mKJ7GHYdv0Aj9qIdd+fRT/G07IHy0HGcy84
+lV3/3vcMaML/p+Pf7hiZm8tiaCzg+3gx7RxWQuhg6ikDBCnMiBcquszoA7pt3+iOxBSjJdxHgwRQ
+QTCU9R1R/T+4hX4Ruxpfs2NpSplxeZzuSgi37S2ghhtbHS0y7LgaCeHjA7FIVX1EoCFcADULHYdj
+D3tzP6Iqp5PfQXlkgyMMuwbtog7m50yeY0PphqbBrBVQFUpkH5s9TAr9YLDjvTzNZVmEtLLM68TP
+KF9HkhEU6O/pYNq5PjvETYFsdvC45rdIJe0p4XJnZplRKicy96ZKU/ZWoyNWlQLC8UMa6OH4/Tyk
+lYfvi25KiztFoZRMhyI1DBT3ePSlp2g+jtE6SbskcF4gqRQUewWJ4KVNv6WhWTWcFtDVPAcQ9OXs
+SCSh2J8rnEOXNJonRQvCBI4AJpDKrsdgnCEqfWdOfmhRi1TJfB17d8k4paAhyPk6blG6KNonTVTM
+aaWtDnBb8qDN/pi6JnHe15h1sfP3duE3CdA4pziYCzqxm5rtOjDXiFDSViyPd3/M4/a5iwij0wGA
+W6drH36dATwHgH+AyjAmTzexcBkteufRjfFfeTIlqex6F6BZrJMn11mM6cefKdzmoBLrt25Lw/TL
+BpNpAvSf99rDn8qczoNVFqOR2xrVRqkL5jcgq3rpzx5CcciXo47jMtzjYsTa68oi1FRlSjElnhnl
+D95xHfd0Hx6P63aajsy4AvTIh6TiMUJyZgdmP9hfMwdaG0PiFSFb/gEVmVim0AD4qdDTek9Fwfex
+jVABN3Ac2NNIEoBofhkjbrwxRjj9KoU17HopK+kSyvj/XB5ME+bkeEJ0tFhPR2IVkzA/eQAlLyBT
+o1XkfM0nE8QM0Ggf16zSSjIWHJGY1Q9KR4r/OTJfJSugi+qST6nZkIcwBN2oXJQuDaR7fJBu+ltf
+N/aDozDiNEhQaRmGG7l7am21xiu5T4w5F7JycuMUznkUYLXxpbJRI6ORx9mK0cLFUUcC69UVnjTk
+jiosmKJ7SxmWtS9f/LSoDHTaY4cJxtExr8lsyP/tFCY3hJuaPA79BQhcvNT95KB9h2zcr8jV8/QG
+4C/e4q4GGxW0STbx3VHVRb28hL2MzYDsB3JEvu5UIyNvwqwSXsZ32O/I+xkuj6vpyA4wqIIXffJt
+UbOEcAzgsdW74Okp4iB/O0x8OWu1JwE6zvxn6Gym5ELw5syX8rPi025/0T/gtHk5xwSJPSLI1ktN
+ILSsRhpoQ4tDLG9UQ0Kk9sotQoPONKKjm2E1TMSLgc9BQY2Dq+t0mL+ILEqzBZcvRplVLzR2wMMa
+ciGfoAMpPuFPPFNyWkM2FovuBtdmAycgcLsuyFdXIuAGQt+1h4fWOaVIRsX7bFT7H0qwhMt5zGDs
+NWnauPnYO0/wMp1j0AwVcaLOdd9uBdPHzdVbliJ4DIn1IVMTpz3xCbSBEnfWhSRxyBXrVYiM5dl4
+yHriRBU3SZw7lHb4SmkYWZ7Tekb6kVUL73KNPtaNPoj1Ce7OMYhzgmMGeG4iAquUOJyA5+0SisYM
+SBu0R43o75zK/5s7rXGkgO6shPUna9wpaMltjL4ywtMd2v0wD/mtIMrNc4TqK2flM/WGlfgKJFy6
+UWIZTPudh8egPusQm6lvUm4mtRet1psKobfLo0/hxcFMjYh3eC2u02ISIEQxHJCAvRR3P+IQ5Dlp
+9CDEbgK28YmhAEZDUoz7uocR1YUxNOJ1VQSLvdfCN9KsdcHOOTVkOyN7xGQL0I+sMtb9AP1a/Bry
+FqR56JgKNycW4YW86HmQx+0GKUweo2Vy4uo80ZWJtoS9/wBCMwmnvpMM890KHHYGDHHFp1cwNmK9
+TlMpyycf1Q9Cw8piAx4TBPZHTkPybEvXqDCqnJIVvc4owj3JIuWoJ3540q6kZWgnc2rqPOoQnM2H
+guhJbkgClNC1yePe5js1sTvBOmR1vl3739VRkykHT6X/WFO0ZIKo1y6c36FyAFfaQwrCeZzo1DMG
+889nQulOOQqkxmfWajWCg5PNZncLNeGk6XfIS5WJyrRkFVI+E/cINMVEXVZpkVBJ4XJ8VezBdc+d
+dY0oaUwpRiWEVCIKBGmQSCriZIkBUCz9cm7PSWXeRbcfuVWlAMHASWs56lbznJeNeEtnM3LlwHY/
+FlfS4gpQXSJY0p0FRXcmpIt9KUsFCXzrsETvOdgVRNoCw1cROn4we5h0mPCrMSFl9KHO6G2JhznM
+uj3xpQstQczvgfpzYF5txZxI4FQdDf20PbpxDfX5pSeToiLcqneqTeg6VwOXBBFuzCzHmubwPMD9
+BJB/ZQQRwU4inwQ32fyGXObzKmNi9vJ6tqIG/2D3bkNnLMf2F7byHArvx2ta5yDLmwOPl+3FOUW3
+MiZkIUr5cfoNDKnijhvO61KqdDQJZCUZjNkYiZA4qNuCPDX1bcRWqMfiMwMLw3jWLMzZDCHMCx1r
+32dFaG6BFtU2LSPu7RGgyYzpPodVaWg4OqNoJHBGAFuzZhER6XZSJ/k5Ygzw8+p3+Lp9z3/y6Mob
+BYG2DnLZ39P/m+aAZWOiUx4mfSiSWaVhbV8kVqspFkEC4A3wJnFp9AX/k7XoliNTJHThqG5gDBiF
+5+ST4JXmmPTIkb97XyHKXHWYdmcWRJRAsh8B+u8JawnCu5CHyqCTz3jqudqLIfa/dNcc4YH/RU9K
+Ij6EKhEL8F9LIUnwoFZyXPbP1viyqLRPEMkOJADYdv2DpgtDABuhVW/fZQ+7GCGlo7Udu6DVXACz
+eCviVeS/ChJfKFq5BXtchiBkGTYO95Zc+OvfddZWu8wlANGoIOkFXoagOcdCWXhWcfPiw0+N0Tpq
+IxIFb69ewvfbtyc7ONtdew7KiBr3PkXw3cdlym1ctZHO7oaFnF8q03ogP9DtCfxKBLKgTis6ZESf
+lTZQlhi02bskoScnxzzzNAapCfOsQgKkIRJnJ9hRDKvkyOrnjLYdH6Lq+7Ny4sQQJx7i9q0pTt8c
+nFTqzVSkKMJty2Ei/cpazXG7l5ewlciJr306N6m5CTZtj++SCiCl7LtVDydSFvFi2dj19Njhr/WD
+gdmyj8bxWJBY325hhAikLf3hw2hrgHcGLy9WCYbPNrnDqBaXEgCqHlpYBAmW4gQgBCPz6/d6Tkmz
+3co8UDKxib++sxzllDZ6JyIxaeEuJyOfeGpqeE0AcEc7aeGIwGt1RWTIgW27sgs1dkI6iV7k78rG
+vd1TZmkGRpaf9KtnAnsDqJKlFHUkVJCPlFQVvZTjUACzXGnl8wy1+5xQofIe4WiRjv642VX+ZjXT
+NVyFFmn5fS9jK1ec6dXiPLY5O0LD16gYe6oq5FUIpw7HHjEWGLBwIL0OylBXJyzqoDHrIv0vU+3i
+qS8gREQAUW/mqhYXe7Qzi2OgpqZjakqReuQbueI8pNPA0iywBZfOhIfxpFh1lPpA+2+hTUsLIH9H
+DZbhMwh+qiUXeAa0QKPfX318hx4kX7fEXsqIC2oGxZAmPjMPbscPeOC0FrPIOQKhEbfF6VONMUDs
+djGE0a28xsjRsV/hp3bqrm9dWAiqQY4+NV+9yVCg75eroBPtc/cxAaq6NNl2NhT66vh67BXVsSaP
+e/6hbcMoAMgYRrYwZDDNyW/VGCwI8wSC45AE3DFmyTEtnuo2JxhnBMABhMKbfCVpI1Y10hQwuE4m
+wXs+xEilFe4k/E1o3ArOWOxP8WRmH2knvPb6ZGNPTJlCra3hDvLwP0p2hUlyuq/dV5ptfOhKqg2A
+I9BgcFdw8gvGWpowaUXipNLB0ds7mY5kU74qat0riW10v9oRjxeWwXRC5bBW8fNGcUfXU48oZJXZ
+8OBV9JCvYVOjVLK3H2HNoovCNFFIkafiUR+aNDkDj/OTaj3zbhBTZzJntCuILjZhltjQmBFVEy3L
+St7CuwGkztLocfLyCqpEYesE+t3Yjzwe7xVTZ4zH9nxMz1zzWe8uUQ0WX0b7/fM3v6A8XiaPadGj
+kKmrC4ha4GU4jhTyyTCrLLWimcVj2oakoHAmtXFBFsGHA4ynBu1yOHiEA895S0qzWIw7JPDet5Vf
+iVZDoTkspKomlZ3H9hlWw7jAbzwvhSJsMc52iBCeuaySjny2lrgusz4r6CMsPiE4WeYpjv4PaClw
+iIl6rk4K5fe5CWZzmC/qo0jdQHPNITgORQsodK5eYKTRjVI6WBmQHGJzXjwfax5GI8DIke3ZsKbo
+aZItS30vjYbhggiTku9Z+186CpH/KAuMH/7fxakisDLxBi86coGjk0J3KTZ4dZMY8R86djSvwivH
+qoE7uyOUYdOHNHipUPY28Bb/q43iIdIP7ymrLeSpo5o6YiOBmoJHHgTVfKonbO/Zip01cjEV4Mi6
+NK03Z+IetM3BKqE2tYA5LfhaJe2QBvb4gdMdp0o3VnlwsYXjpm6Fxrh+BZelLQKF0zYxWaxrYt1T
++rHYnM7DC+mH4yTSYad/vYcvptyJtCkgGucVDA2DhHoKu6KNuH6uY7Qfv4pi8LwbBJ3e7M3jVGou
+HsCwsnCtaAqr0XR7RUfJGt/2vh8BpIfqwe71gIcMqUGDouJjp4EGG9zT9Hnm49H/ScRkww3npJIO
+95VVoDBZsB40cKpdEiUQT6jf53Hy2gKYCOWuw9zlDdrxJnBR1CSkP5nlV/m//9qSmE5m4yPq/BFU
+hq0Gz6GS6OTDXe+6J2lUFpedsnXwqbhs/CatE6075LMwgVSiDUek/kOIezbNluPrFMPks0JY+mnh
+zZdkWW+e6JKCUh08DC+XFstJT+6NeMKEPcUuu+sIw5M+jC1DUaYZMAVgC1k/hbck0KFQp1i9yoHS
+Sm/hDGY4yF7Hc4mxLklZnC4LlJsxs3VS6m+odgYktlNsYoZ6514gaCPAfpaDBUQWz5xPs4XD4sBI
+qzj4aoGtduldkWOK/jqUq6qfrw/OTtV4w8Gly9bzVKhDlLIx65V3s+qutooGavVSbDRMz2X1kMnW
+vgYLU4Dfm/6OtRdk+b585gkT4tnZ7lltOHLLGPslqZ+5m0JlwjmCJ2vPyuF+oCUpYXh+2ylBPhPe
+leIrJaya9abJQevjfLUXF5cARNBCDFdZCgsUd/e3crdfFxO7Q9HcTVqNeArxwihqguLnLMJlXU2A
+6PtZrpdtNkW4OytzAw17XxQWgJTS7Abrqdfpn20uq6R8sjG8bxAdW3aScxxTTMVn03k2kbgtKqJv
+n4yWt6GQsBF6sPbVj+ox4uLIKBYFNQ4jd5HxLVd6s7UFLe4/6bR3ih4j+m5JgC0tlsWQmDzYxkxt
+FBLArIGtmT82u1HXMqi4IaYmHqQJFLzWz88mkZuu0cKKq+ljst0uh4Cb0F6GFcrtz0KScsWYBYyP
+ex9ubRFngO6wEwvChT6fCdR07gj/Z00uI84EaFtkfqN3RJGkNNJ4rszIVWJ7zxBvagEWW4gZB1O4
+wFPb0lrcTxEw4MNXa3d585Tpgx3BF7dQdFayheKNclSxQllPSm4vNemxt7H2/KFiLimqL1z+kngp
+So5DSnbnrIfI0yDHiDRybK5qh0/X67W5CV8KU0KLPR17X+YE9aLPN0VqG0mqhH9j+5mdZzMVDmj3
+WLzZgfmcJs68oiYGJy/NhB9zDMdVn9WxjF/7kogT0h03UueeSOzA9bvSuxcsNrb+XCy8B/96YDQs
++4PWWloo0h0linvpXVmjrUqv6blS/elQAQJBcEZIftauyDHAOwIhrzoueanK2y9/ycxEsAEnrTsA
+KVli0xQ5JVvZHyZr5cajt6vQektpy+HE7WQsu4rTXJJY3eHhFrBHKuDztc4K1xS9hsLfqtBAKKGp
+TXrQYP9gA8cdtAV77wAw+nZZiDRPoJp0M5hDlgD/DqzIcbi0o8OpshggIkbA5PRGOzJvMRrLik2A
+dC1cRDoDyFYPuMBvtJ2XLp/2vkdzHzVEAAm/Fhdc2ODOCfR+ar6r+jjOL85P35oEIKIkf80bmG9R
+XHdUCIlkdSWXdxSejGRgWrHwARDOCHjEU5SCMHaGHT407YhqI6j6lI5zi/5u9Wdwalg5ZhDAzUnB
+88h4IQX5ZzGsx9y/iLLV6E9NsoIPIyf4xUjFtnC9MspVxFTD/UPCjrGUyLopOPcwTT9wH6q13z3t
+rPMFsqpY8q+HyvQQmxCSzrtF47BVzhSnmrRlH/ifF88/Y5vgZ2rDbGTxOJQX6akebxyU1r/LIFQY
+sWKqH7eQSAjgU1VgNqW1qKLXcYXciAnMOyCSqNU32eEcGbuRC1BkF3rJHgjYnDaUV0vHiL6Q2Pms
+sE/DcL4mAgNlKIwMBur5pOvn4Z8v1ikvSPxnyi7RhlbMm9jX2gFgzEW1B4EL5Ih8QbYWx2I+vSFe
+j7DL89knzYQsQSGmgZOlDymmTb/PCCWUk5NDjf5cKNmkoTVGlJnQCdfdeXHdeUfZDcGeS48gt2QZ
+FEgjShGYawX4m1v3tkClPXd48gLWNe6zjKYC+aDXvgzg2xnbhwqUWllOlsMs4iY1rCh5wvXZGR/H
+WV/e4u3iFSXWwYTdoXpaG5jFSIlPtcdWAysVXemLR6tPeNnQFoGn/+YNYASJWvnymNvLJIcS1Nzs
+epyTtVFZ6ZThhXK3NpIPfwIOZvPsCfnJeWgYi1gF5y+1Hyq9zL9OXNyaTlqxDb5PtUF8goNOJPa8
+5M8a35kA85Ov2PNAtsjeeCzaxj09MG1YwJ4M5oeM+I+McVlFpRfDLzrZGBKsxbwL5Bi6gZD/z1/F
+ThvWTJxG1wQczUXoW5uLTrEa2UXFrU2rEuxOfFAzAEbIeGvzpX3EHl62H+ntIBx5hlzRHNUwIYjm
+zALtsHa+qPVgQXbTxk9cxw7DeWpHPJH+39vcqsfL3PLC+US3QFq+CxvIJtUsDCt67JnAgRR7Lkku
+QaK0JC1jBUui7wPTVlO3QeuAy56utOK6b4XOuiU+F4N9abXHSDVYziKgK5hvOX2CENIBG0Uzhfya
+7xcmjMPshhl81eG0fshVojY+9IiULa8wGm+k95BEMVnz/5M56wGg700h/O1A6FLmvgwp7lkZH7yV
+4yneAAom09ZPdIRXQeV42hzRoIKH9zm0SrU4CoPybGgWjggy6GMvgjEDyqYW7X7FNq+pAZPYfUYY
+Fz1kCSQi5SDJ/+fqaDWPBueuHI71ybAy1KLsfQfYTr+L0jZ+G42qXi6N+wkxasd477ZcTKmRKAq7
+ZOdg68N/4ID/DYSDRsEO6uOXLx9Otfi0V+TOqxzMlo3ZI04kSg310YVcWGsPAI3mjLwY1hWYqbKt
+iovL0rZlwT74Kcn11KV7C15OA6reEzImLyOgLpFAT+/uVefSh5McyEYFjYx3e6VTikosMVcJC0JD
+nzfGNaAJBlEu7IPr411yFS66OpyE0ztBJAuDyshx4yZR0rkZkEkFoH5ECEQNyXrQF6nwSe2hP8T6
+uthBpen882bJ4IrlTXvYp7J6lL7dJzCGgQMweAJbvaq6GUG/1c+6ndyX7NqO3CalsB9aHJmd/5cq
+R9ZjrxZ4b2p3Gopp4k+C4pYa4yyjozaGlBKqQ+ldBJMW5jxR1R/GLIwOz3+chybMYKTMJojPvP93
+fYwEXtIFkEUtVNVIhL+1MOrE6lDbEpN4BrZ0PSWcuMqbtMh3tZFp24wMMuwLwTPi8ZZJlddG5nrq
+kVBHtZ3pdHBTQW0hxwiwWqvCjLU97/rI0+HCuf9OimQCKi7zENajGCNqSTiUtNcxmhpAPpvYwGoZ
+C82mISYMqpJKS7clwbQ6adflLKPgT1UUXFpz2r095TFSZ6suOAbeyaiAirQfwfs7pWfQ2SO+f2YC
+qAIsspwlhIiplrwEgSifrr/z9rgrQwSzw9tHBRaY8Qp7CQ4Xab5USnC2kBVVVUDn/X6r+m599car
+8/FrRO7MF+lo0WbVA39rtDLj+jIANevMJ/zQNNNz5AAqPoheuw0AEZgxsSWI5OaEs2ZwdAnH/wZX
+GC+aehMEOwl4aUWSRRqe1/JR7sDNnVf4fpzUfGcPEzlYWzKk9P7RHrYP2dgY1GwLge/UBv+wwsa+
+WwqMh59uxBWvMzWHIQ5/337WUulj/nLeR5OSCiDwnhpkMxeLFOLu1id34kAAwb9ztUC2b9Ehim1k
+IiyCYAiCR8czdHkmJhOPwRLlK2hIaUeYlIhWNMWswwtFNofs+H7IRNV3bR8FxggEpKImim0aCaRv
+V/wN2OZxu/y4ImZwl8uP7rSk+D+IMstA9RWbr92Z1RNAcJ+Q6vqYfC/3iUyJw8TrVYEYCrU3yxn+
+xVKk2OFRuVOLDcR0e/322QcrtvbowSr5Ix3kx54jkNj1SRhJFBRgZ1fmRsOnz5nCDnr05HoykJ2S
+Lya60HRF0XYrqfmFbhepQe0vY4HWCAre/mTjtYd1wtV90G6UDbnALfq4LbF3KMyep9dN+mdgrT1s
+IOAyh0XAniR9IK5nNX3lkplySPE5nO+3aYzf+vB73Y+BPRJ2DIfgGmJiH5BZ5PAkbcgB0cVp7upn
+CMGk+Q0Sh3UW9+n8dZgKcIzbmds4HwYSrl/MQ2ufIZk0Gkky8Zmxfc2qXj6n9sLd8XF/w2kFm233
+DpSejq3mb1mZDvPgdGnjo48lR/6hHPyynWaKcQBcR8y1lAInuedfWM4b15vmSx/zyTJ+XIGRiQcl
+EWKwOEGTDiCptpucA/uy5JVvvTOEOezZ0MFv5BjSH54VFpSFAj1U0E8JCrwCI0t9ZYkN0MNZ8e4V
+wGsqCJBKaNBpwZ792uVTSfZz0ZEyqFNPXGKdYZUQ05eHna2eA6KFT7nOqc+LpV5guub4lg1lQYgw
+ahkFNrbrucUBSbpjLQsqlj1Y+ROUV1z1wO3aHewQQOLmNYv9+PE+uBsnkqJ0Cl0hrPAkBrG+FM/N
+ELIsHJUNmiiRoh8vvdMIuHYqnbTfircaF7JpoIOhMZuY9strQxFY/S7tlskXQX2tR7xhX1mSt347
+jmzrTtZoDywodm+451M2P+T0CkxKW4SUlIbkew0UOvbvAYlLdmomwrDe0i4vZFM0SA90giQFOI4t
+oLc5aAiOlgOOdzXqjiMShIU4pK64tXgVPOGOGcZhBLAhCJhYg7qEyZAqzI7u034rotdxzakw0hWv
+PKXyf/B55fQRasD8+r+Z3SWi+m/p1TdkGiFG+Be6eSjEuloF2NADUPRPnjs8quxX6uUzIa7QfXwi
+XUfraRC17Kws/lCKPgRMM2NkA/G4A3XE+4R4J38cEzgy6QxHqy30O5tI7K8zHgHg0UeD+rI04kND
+eb4Jk6qXSOqMSCRc7nJI0z3SBjskkPH8W676efOPUntYspzs+omiZA9EIpyASbWytnb9ZlYDmoFT
+8Gafhp42lSqHlL+FzudxcM/R3qZbZLJjVo5YLsNeHK14wusdBjZLFQt0DBeiS2lfaRaBRh1XP448
+KGYaizn9En6gTs1TOWaPc40H+cuZOQ==
 `pragma protect end_protected
 module FifoTfe7Bytes (
   Data,

File diff ditekan karena terlalu besar
+ 227 - 107
src/src/WrapFifoChain/FifoTfe7Bytes/temp/FIFOHS/FifoTfe7Bytes_syn.rpt.html


+ 3 - 3
src/src/WrapFifoChain/FifoTfe7Bytes/temp/FIFOHS/FifoTfe7Bytes_syn_resource.html

@@ -31,9 +31,9 @@ table td.label { width: 20%; white-space: nowrap; min-width: 20px; background-co
 </tr>
 <tr>
 <td class="label">FifoTfe7Bytes (C:/Gowin/Gowin_V1.9.9.03_x64/IDE/ipcore/FIFO_HS/data/fifo_hs_top.v)</td>
-<td align = "center">19</td>
-<td align = "center">-</td>
-<td align = "center">18</td>
+<td align = "center">43</td>
+<td align = "center">5</td>
+<td align = "center">40</td>
 <td align = "center">-</td>
 <td align = "center">2</td>
 <td align = "center">-</td>

+ 1 - 1
src/src/WrapFifoChain/FifoTfe7Bytes/temp/FIFOHS/FifoTfe7Bytes_syn_rsc.xml

@@ -1,2 +1,2 @@
 <?xml version="1.0" encoding="UTF-8"?>
-<Module name="FifoTfe7Bytes" Register="19" Lut="18" Bsram="2" T_Register="19(19)" T_Lut="18(18)" T_Bsram="2(2)"/>
+<Module name="FifoTfe7Bytes" Register="43" Alu="5" Lut="40" Bsram="2" T_Register="43(43)" T_Alu="5(5)" T_Lut="40(40)" T_Bsram="2(2)"/>

+ 1 - 1
src/src/WrapFifoChain/FifoTfe7Bytes/temp/FIFOHS/FifoTfe7Bytes_tmp.v

@@ -4,7 +4,7 @@
 //Tool Version: V1.9.9.03 (64-bit)
 //Part Number: GW1N-UV9QN88C6/I5
 //Device: GW1N-9
-//Created Time: Mon Nov 25 11:42:49 2024
+//Created Time: Fri Dec 27 14:45:32 2024
 
 //Change the instance name and port connections to the signal names
 //--------Copy here to design--------

+ 4 - 4
src/src/WrapFifoChain/FifoTfe7Bytes/temp/FIFOHS/fifo_parameter.v

@@ -1,6 +1,6 @@
-parameter WDEPTH = 2;
-parameter ASIZE = 1;
+parameter WDEPTH = 32;
+parameter ASIZE = 5;
 parameter WDSIZE = 56;
-parameter RDEPTH = 2;
-parameter RASIZE = 1;
+parameter RDEPTH = 32;
+parameter RASIZE = 5;
 parameter RDSIZE = 56;