Переглянути джерело

Изменена частота работы LMX. RfLd_o теперь определяется только MisoLdLmx_i.

Anatoliy Chigirinskiy 1 рік тому
батько
коміт
2d25a6f508
2 змінених файлів з 3 додано та 3 видалено
  1. 1 1
      src/constr/SbTmsg.sdc
  2. 2 2
      src/src/Top/TopSbTmsg.v

+ 1 - 1
src/constr/SbTmsg.sdc

@@ -11,7 +11,7 @@ create_clock -name clk100 -period 10 -waveform {0 5} [get_nets {gclk100}]
 create_clock -name clk210 -period 4.762 -waveform {0 2.381} [get_nets {ClkGen/clk210Mhz}]
 create_clock -name Sck_i -period 10 -waveform {0 5} [get_ports {Sck_i}]
 create_clock -name Clk_i -period 41.667 -waveform {0 20.834} [get_ports {Clk_i}]
-create_clock -name clk60 -period 16.667 -waveform {0 8.334} [get_nets {clk60}]
+//create_clock -name clk60 -period 16.667 -waveform {0 8.334} [get_nets {clk60}]
 set_clock_groups -asynchronous -group [get_clocks {Clk_i}] -group [get_clocks {Sck_i}]
 set_false_path -from [get_clocks {Sck_i}] -to [get_clocks {Clk_i}] 
 set_false_path -from [get_clocks {Sck_i}] -to [get_clocks {Sck_i}] 

+ 2 - 2
src/src/Top/TopSbTmsg.v

@@ -209,7 +209,7 @@ assign RfSw1_o 				= gpio1CtrlData[0];
 
 assign anyFlag = flagDirectTemp | flagDirectMax | flagDirectDds | flagDirectLmx | flagDirectGpio2;//Debug-only
 
-assign RfLd_o = MisoLdLmx_i && MisoLdMax2870_i;
+assign RfLd_o = MisoLdLmx_i;
 assign Mosi1_io = misoReg;
 assign AnyFlag_o = anyFlag;//Debug-only
 
@@ -405,7 +405,7 @@ LmxWrapper #(
 	.DATA_WIDTH		(24)
 ) LmxWrapper(
 	.WrClk_i		(gclk100),
-	.RdClk_i		(clk60),
+	.RdClk_i		(clk26dot25),
 	.Rst_i			(Rst_i),
 	.Data_i			(spiData),
 	.Val_i			(valLmxDataToFifo),