Quellcode durchsuchen

Созданы констрейны для проекта.

Anatoliy Chigirinskiy vor 1 Jahr
Ursprung
Commit
4a6a76816f
3 geänderte Dateien mit 132 neuen und 6 gelöschten Zeilen
  1. 124 1
      src/constr/SbTmsg.cst
  2. 8 1
      src/constr/SbTmsg.sdc
  3. 0 4
      src/src/Top/TopSbTmsg.v

+ 124 - 1
src/constr/SbTmsg.cst

@@ -1 +1,124 @@
-#
+//Copyright (C)2014-2024 Gowin Semiconductor Corporation.
+//All rights reserved. 
+//File Title: Physical Constraints file
+//Tool Version: V1.9.9.02
+//Part Number: GW1N-LV9PG256C6/I5
+//Device: GW1N-9
+//Device Version: C
+//Created Time: Sat 04 27 17:55:23 2024
+
+IO_LOC "DataMax2870MixRf2_o" C1;
+IO_PORT "DataMax2870MixRf2_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "ClkMax2870MixRf2_o" C2;
+IO_PORT "ClkMax2870MixRf2_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "CsMax2870MixRf2_o" D2;
+IO_PORT "CsMax2870MixRf2_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "DataRegRf2_o" F16;
+IO_PORT "DataRegRf2_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "ClkRegRf2_o" E16;
+IO_PORT "ClkRegRf2_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "CsRegRf2_o" F15;
+IO_PORT "CsRegRf2_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "FpgaMosiAtt_o" C7;
+IO_PORT "FpgaMosiAtt_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "FpgaClkAtt_o" B7;
+IO_PORT "FpgaClkAtt_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "FpgaCsAtt_o" C8;
+IO_PORT "FpgaCsAtt_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "AmDac2_mosi_o" B3;
+IO_PORT "AmDac2_mosi_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "AmDac2Clk_o" A3;
+IO_PORT "AmDac2Clk_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "AmDac2Cs_o" B4;
+IO_PORT "AmDac2Cs_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "MosiPot_o" B5;
+IO_PORT "MosiPot_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "ClkPot_o" A5;
+IO_PORT "ClkPot_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "CsPot_o" A4;
+IO_PORT "CsPot_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "MosiAd9912Fpga_o" R3;
+IO_PORT "MosiAd9912Fpga_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "ClkAd9912Fpga_o" T2;
+IO_PORT "ClkAd9912Fpga_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "CsAd9912Fpga_o" R4;
+IO_PORT "CsAd9912Fpga_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "DataLmx94_o" G1;
+IO_PORT "DataLmx94_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "ClkLmx94_o" G2;
+IO_PORT "ClkLmx94_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "CsLmx94_o" F1;
+IO_PORT "CsLmx94_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "DdsSaw1Fpga_o" E1;
+IO_PORT "DdsSaw1Fpga_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "GpioAdRfV2_o" C16;
+IO_PORT "GpioAdRfV2_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "GpioAdRfV1_o" D15;
+IO_PORT "GpioAdRfV1_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "RefOffsetCtrlFpga_o" P1;
+IO_PORT "RefOffsetCtrlFpga_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "DdsSaw2Fpga_o" D1;
+IO_PORT "DdsSaw2Fpga_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "DdsX2Fpga_o" R1;
+IO_PORT "DdsX2Fpga_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "PllLoopCtrl_o" L1;
+IO_PORT "PllLoopCtrl_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "PllSync_o" K2;
+IO_PORT "PllSync_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "PllSyncCtrl_o" K1;
+IO_PORT "PllSyncCtrl_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "PllVtuneCtrl_o" L2;
+IO_PORT "PllVtuneCtrl_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "AmAlc1Fix_o" A2;
+IO_PORT "AmAlc1Fix_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "SwCap1_o" A11;
+IO_PORT "SwCap1_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "SwCap2_o" A14;
+IO_PORT "SwCap2_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "SwCap3_o" A12;
+IO_PORT "SwCap3_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "AmAlcSw_o" A10;
+IO_PORT "AmAlcSw_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "SwCap4_o" A13;
+IO_PORT "SwCap4_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "DdsSyncFpga_o" R6;
+IO_PORT "DdsSyncFpga_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "DdsResetFpga_o" T5;
+IO_PORT "DdsResetFpga_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "DdsSyncCtrlFpga_o" T6;
+IO_PORT "DdsSyncCtrlFpga_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "CtrlAmSw3_o" J16;
+IO_PORT "CtrlAmSw3_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "RfSw2_o" H16;
+IO_PORT "RfSw2_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "RfSw1_o" G16;
+IO_PORT "RfSw1_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_PORT "AnyFlag_o" IO_TYPE=LVCMOS33;
+IO_LOC "RfLd_o" R8;
+IO_PORT "RfLd_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "I2cScl_o" K16;
+IO_PORT "I2cScl_o" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "I2cSda_io" L16;
+IO_PORT "I2cSda_io" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "Mosi1_io" T12;
+IO_PORT "Mosi1_io" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
+IO_LOC "MisoLdMax2870_i" B1;
+IO_PORT "MisoLdMax2870_i" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
+IO_LOC "MisoDds_i" T3;
+IO_PORT "MisoDds_i" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
+IO_LOC "MisoLdLmx_i" F2;
+IO_PORT "MisoLdLmx_i" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
+IO_LOC "Mosi3_i" T15;
+IO_PORT "Mosi3_i" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
+IO_LOC "Mosi2_i" T14;
+IO_PORT "Mosi2_i" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
+IO_LOC "Mosi0_i" R12;
+IO_PORT "Mosi0_i" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
+IO_LOC "Ss_i" T9;
+IO_PORT "Ss_i" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
+IO_LOC "Sck_i" T13;
+IO_PORT "Sck_i" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
+IO_LOC "Rst_i" R9;
+IO_PORT "Rst_i" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
+IO_LOC "Clk_i" H11;
+IO_PORT "Clk_i" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;

+ 8 - 1
src/constr/SbTmsg.sdc

@@ -1 +1,8 @@
-#
+//Copyright (C)2014-2024 GOWIN Semiconductor Corporation.
+//All rights reserved.
+//File Title: Timing Constraints file
+//Tool Version: V1.9.9.02 
+//Created Time: 2024-04-27 18:00:03
+create_clock -name Clk_i -period 41.667 -waveform {0 20.834} [get_ports {Clk_i}]
+create_clock -name Sck_i -period 10 -waveform {0 5} [get_ports {Sck_i}]
+set_clock_groups -asynchronous -group [get_clocks {Clk_i Sck_i}]

+ 0 - 4
src/src/Top/TopSbTmsg.v

@@ -46,10 +46,6 @@ module TopSbTmsg
 	output I2cScl_o,
 	inout I2cSda_io,
 	
-	output [DEVNUM-1:0] Ss_o,
-	output [DEVNUM-1:0] Sck_o,
-	output [DEVNUM-1:0] Mosi_o,
-	
 	output RfLd_o,
 	output AnyFlag_o,