|
|
@@ -261,6 +261,9 @@ set_property IOSTANDARD LVCMOS25 [get_ports DitherCtrlCh1_o]
|
|
|
set_property PACKAGE_PIN V15 [get_ports DitherCtrlCh2_o]
|
|
|
set_property IOSTANDARD LVCMOS25 [get_ports DitherCtrlCh2_o]
|
|
|
|
|
|
+set_property PACKAGE_PIN M1 [get_ports DspReadyForRx_i]
|
|
|
+set_property IOSTANDARD LVCMOS33 [get_ports DspReadyForRx_i]
|
|
|
+
|
|
|
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Ss_i_IBUF]
|
|
|
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Sck_i_IBUF]
|
|
|
|
|
|
@@ -879,7 +882,6 @@ set_property MARK_DEBUG false [get_nets ExternalDspInterface/MeasDataFifoInst/fu
|
|
|
set_property MARK_DEBUG false [get_nets ExternalDspInterface/MeasDataFifoInst/PpiBusy_i]
|
|
|
set_property MARK_DEBUG false [get_nets ExternalDspInterface/MeasDataFifoInst/emptyFlag]
|
|
|
set_property MARK_DEBUG false [get_nets ExternalDspInterface/MeasDataPpiOut/LpOutStart_i]
|
|
|
-set_property MARK_DEBUG false [get_nets InternalDsp/MeasEnd_o]
|
|
|
set_property MARK_DEBUG false [get_nets ExternalDspInterface/MeasDataFifoInst/MeasDataVal_o]
|
|
|
|
|
|
|
|
|
@@ -940,7 +942,6 @@ set_property MARK_DEBUG false [get_nets {adc1ChT1Data[1]}]
|
|
|
set_property MARK_DEBUG false [get_nets {adc1ChT1Data[7]}]
|
|
|
set_property MARK_DEBUG false [get_nets {adc1ChT1Data[9]}]
|
|
|
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[22]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[12]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[4]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[17]}]
|
|
|
@@ -952,15 +953,12 @@ set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[13]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[20]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[5]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[19]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[9]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[24]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[7]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[15]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[26]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[0]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[14]}]
|
|
|
set_property MARK_DEBUG false [get_nets InternalDsp/MeasDataRdy_o]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[18]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[13]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[9]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[4]}]
|
|
|
@@ -968,21 +966,15 @@ set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[26]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[12]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[13]}]
|
|
|
-set_property MARK_DEBUG false [get_nets InternalDsp/StartMeas_i]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[1]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[28]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[0]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[18]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[5]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[8]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[5]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[30]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[2]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[22]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[4]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[15]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[27]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[31]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[2]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[8]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[3]}]
|
|
|
@@ -990,8 +982,6 @@ set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[
|
|
|
set_property MARK_DEBUG false [get_nets InternalDsp/MeasCtrlModule/measWindEnd]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[17]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[21]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[6]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[19]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[3]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[6]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[22]}]
|
|
|
@@ -1000,7 +990,6 @@ set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCo
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[19]}]
|
|
|
set_property MARK_DEBUG false [get_nets InternalDsp/EndMeas_o]
|
|
|
set_property MARK_DEBUG false [get_nets ExternalDspInterface/MeasDataFifoInst/MeasDataVal_i]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[17]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[10]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[8]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[11]}]
|
|
|
@@ -1008,54 +997,36 @@ set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[8]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[18]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[22]}]
|
|
|
set_property MARK_DEBUG false [get_nets InternalDsp/MeasWind_o]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[4]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[8]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[7]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[10]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[31]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[1]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[14]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[7]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[16]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[29]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[11]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[2]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[5]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[14]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[15]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/WindPointsNum_i[0]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[9]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[21]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[23]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[6]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[14]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[16]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[26]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[12]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[0]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[29]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[6]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[20]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[23]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[11]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[12]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[25]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[7]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[10]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[4]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[20]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[18]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[9]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[21]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[0]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[7]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[1]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[11]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[28]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[1]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[3]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[5]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[13]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[21]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[30]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCosValues[2]}]
|
|
|
@@ -1063,7 +1034,6 @@ set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[1]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[3]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[12]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[0]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[1]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[9]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[23]}]
|
|
|
@@ -1074,7 +1044,6 @@ set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/tukeyFirstCo
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[2]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[11]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/measCtrlReg[23]}]
|
|
|
-set_property MARK_DEBUG false [get_nets {adc1ImR1[20]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[2]}]
|
|
|
set_property MARK_DEBUG false [get_nets {LpOutData_o_OBUF[15]}]
|
|
|
set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/pNumCnt_reg[15]}]
|
|
|
@@ -1195,5 +1164,106 @@ set_property MARK_DEBUG false [get_nets trigForIntTrig2]
|
|
|
set_property MARK_DEBUG false [get_nets {PGen[1].PulseGenMux/IntTrig2_i}]
|
|
|
set_property MARK_DEBUG false [get_nets MeasTrigMux/MuxOut_o]
|
|
|
set_property MARK_DEBUG false [get_nets MeasStartEventGenInst/measTrigPos]
|
|
|
-set_property MARK_DEBUG false [get_nets InternalDsp/StartMeasDsp_i]
|
|
|
set_property MARK_DEBUG false [get_nets IntTrig2GenInst/StartMeasDsp_i]
|
|
|
+
|
|
|
+
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[11]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[25]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[9]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[2]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[21]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[0]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[3]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[9]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[30]}]
|
|
|
+set_property MARK_DEBUG false [get_nets InternalDsp/StartMeasDsp_i]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[0]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[4]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[5]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[11]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[5]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[20]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[30]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[7]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[15]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[23]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[29]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[31]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[20]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[30]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[23]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[24]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[1]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[3]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[21]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[10]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[22]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[29]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[1]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[9]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[17]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[27]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[8]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[10]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[16]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[28]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[3]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[13]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[31]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[4]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[5]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[19]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[10]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[12]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[16]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[6]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[20]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[26]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[8]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[28]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[22]}]
|
|
|
+set_property MARK_DEBUG false [get_nets gclk_BUFG]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[6]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[12]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[15]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[4]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[7]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[18]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[21]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[13]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[16]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[24]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[26]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[26]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[8]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[28]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[31]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[17]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[19]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[25]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[29]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[12]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[18]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[23]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[15]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[0]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[1]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[27]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[25]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[6]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[27]}]
|
|
|
+set_property MARK_DEBUG false [get_nets InternalDsp/MeasEnd_o]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[14]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[22]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[14]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[18]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[7]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[13]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[19]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[2]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[2]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/measNumReg[24]}]
|
|
|
+set_property MARK_DEBUG false [get_nets InternalDsp/StartMeas_i]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[14]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {InternalDsp/MeasCtrlModule/measCnt_reg[17]}]
|
|
|
+set_property MARK_DEBUG false [get_nets {adc1ImR1[11]}]
|