S5243Top.xdc 14 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308
  1. # set_property PACKAGE_PIN U17 [get_ports Adc1DataDa0P_i]
  2. # set_property PACKAGE_PIN R18 [get_ports Adc1DataDa1P_i]
  3. # set_property PACKAGE_PIN M18 [get_ports Adc1DataDb0P_i]
  4. # set_property PACKAGE_PIN L17 [get_ports Adc1DataDb1P_i]
  5. # set_property PACKAGE_PIN H18 [get_ports Adc2DataDa0P_i]
  6. # set_property PACKAGE_PIN F18 [get_ports Adc2DataDa1P_i]
  7. # set_property PACKAGE_PIN C17 [get_ports Adc2DataDb0P_i]
  8. # set_property PACKAGE_PIN B17 [get_ports Adc2DataDb1P_i]
  9. set_property PACKAGE_PIN H18 [get_ports Adc1DataDa0P_i]
  10. set_property PACKAGE_PIN F18 [get_ports Adc1DataDa1P_i]
  11. set_property PACKAGE_PIN C17 [get_ports Adc1DataDb0P_i]
  12. set_property PACKAGE_PIN B17 [get_ports Adc1DataDb1P_i]
  13. set_property PACKAGE_PIN U17 [get_ports Adc2DataDa0P_i]
  14. set_property PACKAGE_PIN R18 [get_ports Adc2DataDa1P_i]
  15. set_property PACKAGE_PIN M18 [get_ports Adc2DataDb0P_i]
  16. set_property PACKAGE_PIN L17 [get_ports Adc2DataDb1P_i]
  17. #==========================================================================
  18. # TIMING CONSTRAINTS
  19. #==========================================================================
  20. # INPUT CLOCKS
  21. set_property PACKAGE_PIN P2 [get_ports ClkP_i]
  22. set_property IOSTANDARD LVDS_25 [get_ports ClkP_i]
  23. set_property IOSTANDARD LVDS_25 [get_ports ClkN_i]
  24. create_clock -period 20.000 [get_ports ClkP_i]
  25. #==========================================================================
  26. # ADC1
  27. set_property PACKAGE_PIN D18 [get_ports Adc1FclkP_i]
  28. set_property IOSTANDARD LVDS_25 [get_ports Adc1FclkP_i]
  29. set_property IOSTANDARD LVDS_25 [get_ports Adc1FclkN_i]
  30. set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa0P_i]
  31. set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa0N_i]
  32. set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa1P_i]
  33. set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa1N_i]
  34. set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb0P_i]
  35. set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb0N_i]
  36. set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb1P_i]
  37. set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb1N_i]
  38. #==========================================================================
  39. # ADC2
  40. set_property PACKAGE_PIN R16 [get_ports Adc2FclkP_i]
  41. set_property IOSTANDARD LVDS_25 [get_ports Adc2FclkP_i]
  42. set_property IOSTANDARD LVDS_25 [get_ports Adc2FclkN_i]
  43. set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa0P_i]
  44. set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa0N_i]
  45. set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa1P_i]
  46. set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa1N_i]
  47. set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb0P_i]
  48. set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb0N_i]
  49. set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb1P_i]
  50. set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb1N_i]
  51. #==========================================================================
  52. # DSP interface
  53. set_property PACKAGE_PIN K17 [get_ports Miso_o]
  54. set_property IOSTANDARD LVCMOS25 [get_ports Miso_o]
  55. set_property PACKAGE_PIN K18 [get_ports Mosi_i]
  56. set_property IOSTANDARD LVCMOS25 [get_ports Mosi_i]
  57. set_property PACKAGE_PIN V12 [get_ports Ss_i]
  58. set_property IOSTANDARD LVCMOS25 [get_ports Ss_i]
  59. set_property PACKAGE_PIN T14 [get_ports Sck_i]
  60. set_property IOSTANDARD LVCMOS25 [get_ports Sck_i]
  61. #create_clock -period 24.000 [get_ports Sck_i]
  62. create_clock -period 16.000 [get_ports Sck_i]
  63. set_property PACKAGE_PIN R1 [get_ports LpOutClk_o]
  64. set_property IOSTANDARD LVCMOS33 [get_ports LpOutClk_o]
  65. set_property PACKAGE_PIN N1 [get_ports LpOutFs_o]
  66. set_property IOSTANDARD LVCMOS33 [get_ports LpOutFs_o]
  67. set_property PACKAGE_PIN V7 [get_ports {LpOutData_o[0]}]
  68. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[0]}]
  69. set_property PACKAGE_PIN U7 [get_ports {LpOutData_o[1]}]
  70. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[1]}]
  71. set_property PACKAGE_PIN V6 [get_ports {LpOutData_o[2]}]
  72. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[2]}]
  73. set_property PACKAGE_PIN U6 [get_ports {LpOutData_o[3]}]
  74. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[3]}]
  75. set_property PACKAGE_PIN V5 [get_ports {LpOutData_o[4]}]
  76. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[4]}]
  77. set_property PACKAGE_PIN T5 [get_ports {LpOutData_o[5]}]
  78. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[5]}]
  79. set_property PACKAGE_PIN T4 [get_ports {LpOutData_o[6]}]
  80. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[6]}]
  81. set_property PACKAGE_PIN V4 [get_ports {LpOutData_o[7]}]
  82. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[7]}]
  83. set_property PACKAGE_PIN U3 [get_ports {LpOutData_o[8]}]
  84. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[8]}]
  85. set_property PACKAGE_PIN V3 [get_ports {LpOutData_o[9]}]
  86. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[9]}]
  87. set_property PACKAGE_PIN U2 [get_ports {LpOutData_o[10]}]
  88. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[10]}]
  89. set_property PACKAGE_PIN V2 [get_ports {LpOutData_o[11]}]
  90. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[11]}]
  91. set_property PACKAGE_PIN T2 [get_ports {LpOutData_o[12]}]
  92. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[12]}]
  93. set_property PACKAGE_PIN U1 [get_ports {LpOutData_o[13]}]
  94. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[13]}]
  95. set_property PACKAGE_PIN R2 [get_ports {LpOutData_o[14]}]
  96. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[14]}]
  97. set_property PACKAGE_PIN T1 [get_ports {LpOutData_o[15]}]
  98. set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[15]}]
  99. #==========================================================================
  100. # ADC SPI
  101. set_property PACKAGE_PIN E15 [get_ports Adc1InitMosi_o]
  102. set_property IOSTANDARD LVCMOS25 [get_ports Adc1InitMosi_o]
  103. set_property PACKAGE_PIN N15 [get_ports Adc2InitMosi_o]
  104. set_property IOSTANDARD LVCMOS25 [get_ports Adc2InitMosi_o]
  105. set_property PACKAGE_PIN F15 [get_ports Adc1InitClk_o]
  106. set_property IOSTANDARD LVCMOS25 [get_ports Adc1InitClk_o]
  107. set_property PACKAGE_PIN P16 [get_ports Adc2InitClk_o]
  108. set_property IOSTANDARD LVCMOS25 [get_ports Adc2InitClk_o]
  109. set_property PACKAGE_PIN G15 [get_ports Adc1InitCs_o]
  110. set_property IOSTANDARD LVCMOS25 [get_ports Adc1InitCs_o]
  111. set_property PACKAGE_PIN P15 [get_ports Adc2InitCs_o]
  112. set_property IOSTANDARD LVCMOS25 [get_ports Adc2InitCs_o]
  113. set_property PACKAGE_PIN H15 [get_ports Adc1InitRst_o]
  114. set_property IOSTANDARD LVCMOS25 [get_ports Adc1InitRst_o]
  115. set_property PACKAGE_PIN R15 [get_ports Adc2InitRst_o]
  116. set_property IOSTANDARD LVCMOS25 [get_ports Adc2InitRst_o]
  117. #
  118. #==========================================================================
  119. # OTHER
  120. set_property PACKAGE_PIN J6 [get_ports Led_o]
  121. set_property IOSTANDARD LVCMOS33 [get_ports Led_o]
  122. set_property PACKAGE_PIN R4 [get_ports Overload_o]
  123. set_property IOSTANDARD LVCMOS33 [get_ports Overload_o]
  124. #set_property PACKAGE_PIN R8 [get_ports OverloadS_i] ;#NC
  125. #set_property IOSTANDARD LVCMOS33 [get_ports OverloadS_i]
  126. set_property PACKAGE_PIN T3 [get_ports StartMeas_i]
  127. set_property IOSTANDARD LVCMOS33 [get_ports StartMeas_i]
  128. set_property PACKAGE_PIN N3 [get_ports EndMeas_o]
  129. set_property IOSTANDARD LVCMOS33 [get_ports EndMeas_o]
  130. #set_property PACKAGE_PIN T3 [get_ports StartMeas_o] ;#NC
  131. #set_property IOSTANDARD LVCMOS33 [get_ports StartMeas_o]
  132. set_property PACKAGE_PIN R3 [get_ports TimersClk_o]
  133. set_property IOSTANDARD LVCMOS33 [get_ports TimersClk_o]
  134. # set_property PACKAGE_PIN V14 [get_ports {AmpEn_o[0]}]
  135. # set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[0]}]
  136. # set_property PACKAGE_PIN H17 [get_ports {AmpEn_o[1]}]
  137. # set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[1]}]
  138. # set_property PACKAGE_PIN V16 [get_ports {AmpEn_o[2]}]
  139. # set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[2]}]
  140. # set_property PACKAGE_PIN B16 [get_ports {AmpEn_o[3]}]
  141. # set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[3]}]
  142. set_property PACKAGE_PIN H17 [get_ports {AmpEn_o[0]}]
  143. set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[0]}]
  144. set_property PACKAGE_PIN B16 [get_ports {AmpEn_o[1]}]
  145. set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[1]}]
  146. set_property PACKAGE_PIN V16 [get_ports {AmpEn_o[2]}]
  147. set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[2]}]
  148. set_property PACKAGE_PIN V14 [get_ports {AmpEn_o[3]}]
  149. set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[3]}]
  150. set_property PACKAGE_PIN K3 [get_ports {PortSel_o[0]}]
  151. set_property IOSTANDARD LVCMOS33 [get_ports {PortSel_o[0]}]
  152. set_property PACKAGE_PIN K4 [get_ports {PortSel_o[1]}]
  153. set_property IOSTANDARD LVCMOS33 [get_ports {PortSel_o[1]}]
  154. #set_property PACKAGE_PIN R3 [get_ports {PortSel_o[2]}] ;#NC
  155. #set_property IOSTANDARD LVCMOS25 [get_ports {PortSel_o[2]}]
  156. #set_property PACKAGE_PIN P3 [get_ports {PortSel_o[3]}] ;#NC
  157. #set_property IOSTANDARD LVCMOS25 [get_ports {PortSel_o[3]}]
  158. #set_property PACKAGE_PIN F14 [get_ports {PortSelDir_o[0]}] ;#NC BUFF_DIR
  159. #set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[0]}]
  160. #set_property PACKAGE_PIN F15 [get_ports {PortSelDir_o[1]}] ;#NC
  161. #set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[1]}]
  162. #set_property PACKAGE_PIN R4 [get_ports {PortSelDir_o[2]}] ;#NC
  163. #set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[2]}]
  164. #set_property PACKAGE_PIN M4 [get_ports {PortSelDir_o[3]}] ;#NC
  165. #set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[3]}]
  166. #set_property PACKAGE_PIN R7 [get_ports SensEnM_io] ;#NC
  167. #set_property IOSTANDARD LVCMOS33 [get_ports SensEnM_io]
  168. #set_property PACKAGE_PIN T3 [get_ports StartMeasDsp_o] ;#NC
  169. #set_property IOSTANDARD LVCMOS33 [get_ports StartMeasDsp_o]
  170. set_property PACKAGE_PIN K2 [get_ports Mod_o]
  171. set_property IOSTANDARD LVCMOS33 [get_ports Mod_o]
  172. set_property PACKAGE_PIN N2 [get_ports DspTrigOut_i]
  173. set_property IOSTANDARD LVCMOS33 [get_ports DspTrigOut_i]
  174. set_property PACKAGE_PIN M2 [get_ports DspTrigIn_o]
  175. set_property IOSTANDARD LVCMOS33 [get_ports DspTrigIn_o]
  176. set_property PACKAGE_PIN L4 [get_ports {Trig6to1_io[0]}]
  177. set_property IOSTANDARD LVCMOS33 [get_ports {Trig6to1_io[0]}]
  178. set_property PACKAGE_PIN K1 [get_ports {Trig6to1_io[1]}]
  179. set_property IOSTANDARD LVCMOS33 [get_ports {Trig6to1_io[1]}]
  180. set_property PACKAGE_PIN B13 [get_ports {Trig6to1_io[2]}]
  181. set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[2]}]
  182. set_property PACKAGE_PIN A13 [get_ports {Trig6to1_io[3]}]
  183. set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[3]}]
  184. set_property PACKAGE_PIN B14 [get_ports {Trig6to1_io[4]}]
  185. set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[4]}]
  186. set_property PACKAGE_PIN A14 [get_ports {Trig6to1_io[5]}]
  187. set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[5]}]
  188. set_property PACKAGE_PIN N5 [get_ports {Trig6to1Dir_o[0]}]
  189. set_property IOSTANDARD LVCMOS33 [get_ports {Trig6to1Dir_o[0]}]
  190. set_property PACKAGE_PIN M5 [get_ports {Trig6to1Dir_o[1]}]
  191. set_property IOSTANDARD LVCMOS33 [get_ports {Trig6to1Dir_o[1]}]
  192. set_property PACKAGE_PIN D12 [get_ports {Trig6to1Dir_o[2]}]
  193. set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[2]}]
  194. set_property PACKAGE_PIN E12 [get_ports {Trig6to1Dir_o[3]}]
  195. set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[3]}]
  196. set_property PACKAGE_PIN B15 [get_ports {Trig6to1Dir_o[4]}]
  197. set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[4]}]
  198. set_property PACKAGE_PIN A15 [get_ports {Trig6to1Dir_o[5]}]
  199. set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[5]}]
  200. set_property PACKAGE_PIN A16 [get_ports DitherCtrlCh1_o]
  201. set_property IOSTANDARD LVCMOS25 [get_ports DitherCtrlCh1_o]
  202. set_property PACKAGE_PIN V15 [get_ports DitherCtrlCh2_o]
  203. set_property IOSTANDARD LVCMOS25 [get_ports DitherCtrlCh2_o]
  204. set_property PACKAGE_PIN M1 [get_ports DspReadyForRx_i]
  205. set_property IOSTANDARD LVCMOS33 [get_ports DspReadyForRx_i]
  206. set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Ss_i_IBUF]
  207. set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Sck_i_IBUF]
  208. set_false_path -from [get_clocks -of_objects [get_pins Clk200Gen/rx_plle2_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins Clk200Gen/rx_plle2_adv_inst/CLKOUT0]]
  209. connect_debug_port u_ila_0/probe1 [get_nets [list {ExternalDspInterface/filteredDecimDataQ[0]} {ExternalDspInterface/filteredDecimDataQ[1]} {ExternalDspInterface/filteredDecimDataQ[2]} {ExternalDspInterface/filteredDecimDataQ[3]} {ExternalDspInterface/filteredDecimDataQ[4]} {ExternalDspInterface/filteredDecimDataQ[5]} {ExternalDspInterface/filteredDecimDataQ[6]} {ExternalDspInterface/filteredDecimDataQ[7]} {ExternalDspInterface/filteredDecimDataQ[8]} {ExternalDspInterface/filteredDecimDataQ[9]} {ExternalDspInterface/filteredDecimDataQ[10]} {ExternalDspInterface/filteredDecimDataQ[11]} {ExternalDspInterface/filteredDecimDataQ[12]} {ExternalDspInterface/filteredDecimDataQ[13]} {ExternalDspInterface/filteredDecimDataQ[14]} {ExternalDspInterface/filteredDecimDataQ[15]}]]
  210. connect_debug_port u_ila_0/probe1 [get_nets [list ExternalDspInterface/dataForFifoVal]]
  211. connect_debug_port u_ila_0/probe3 [get_nets [list {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[0]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[1]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[2]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[3]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[4]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[5]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[6]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[7]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[8]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[9]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[10]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[11]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[12]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[13]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[14]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[15]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[16]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[17]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[18]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[19]}]]