| 123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308 |
- # set_property PACKAGE_PIN U17 [get_ports Adc1DataDa0P_i]
- # set_property PACKAGE_PIN R18 [get_ports Adc1DataDa1P_i]
- # set_property PACKAGE_PIN M18 [get_ports Adc1DataDb0P_i]
- # set_property PACKAGE_PIN L17 [get_ports Adc1DataDb1P_i]
- # set_property PACKAGE_PIN H18 [get_ports Adc2DataDa0P_i]
- # set_property PACKAGE_PIN F18 [get_ports Adc2DataDa1P_i]
- # set_property PACKAGE_PIN C17 [get_ports Adc2DataDb0P_i]
- # set_property PACKAGE_PIN B17 [get_ports Adc2DataDb1P_i]
- set_property PACKAGE_PIN H18 [get_ports Adc1DataDa0P_i]
- set_property PACKAGE_PIN F18 [get_ports Adc1DataDa1P_i]
- set_property PACKAGE_PIN C17 [get_ports Adc1DataDb0P_i]
- set_property PACKAGE_PIN B17 [get_ports Adc1DataDb1P_i]
- set_property PACKAGE_PIN U17 [get_ports Adc2DataDa0P_i]
- set_property PACKAGE_PIN R18 [get_ports Adc2DataDa1P_i]
- set_property PACKAGE_PIN M18 [get_ports Adc2DataDb0P_i]
- set_property PACKAGE_PIN L17 [get_ports Adc2DataDb1P_i]
- #==========================================================================
- # TIMING CONSTRAINTS
- #==========================================================================
- # INPUT CLOCKS
- set_property PACKAGE_PIN P2 [get_ports ClkP_i]
- set_property IOSTANDARD LVDS_25 [get_ports ClkP_i]
- set_property IOSTANDARD LVDS_25 [get_ports ClkN_i]
- create_clock -period 20.000 [get_ports ClkP_i]
- #==========================================================================
- # ADC1
- set_property PACKAGE_PIN D18 [get_ports Adc1FclkP_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1FclkP_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1FclkN_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa0P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa0N_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa1P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDa1N_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb0P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb0N_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb1P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb1N_i]
- #==========================================================================
- # ADC2
- set_property PACKAGE_PIN R16 [get_ports Adc2FclkP_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2FclkP_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2FclkN_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa0P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa0N_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa1P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDa1N_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb0P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb0N_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb1P_i]
- set_property IOSTANDARD LVDS_25 [get_ports Adc2DataDb1N_i]
- #==========================================================================
- # DSP interface
- set_property PACKAGE_PIN K17 [get_ports Miso_o]
- set_property IOSTANDARD LVCMOS25 [get_ports Miso_o]
- set_property PACKAGE_PIN K18 [get_ports Mosi_i]
- set_property IOSTANDARD LVCMOS25 [get_ports Mosi_i]
- set_property PACKAGE_PIN V12 [get_ports Ss_i]
- set_property IOSTANDARD LVCMOS25 [get_ports Ss_i]
- set_property PACKAGE_PIN T14 [get_ports Sck_i]
- set_property IOSTANDARD LVCMOS25 [get_ports Sck_i]
- #create_clock -period 24.000 [get_ports Sck_i]
- create_clock -period 16.000 [get_ports Sck_i]
- set_property PACKAGE_PIN R1 [get_ports LpOutClk_o]
- set_property IOSTANDARD LVCMOS33 [get_ports LpOutClk_o]
- set_property PACKAGE_PIN N1 [get_ports LpOutFs_o]
- set_property IOSTANDARD LVCMOS33 [get_ports LpOutFs_o]
- set_property PACKAGE_PIN V7 [get_ports {LpOutData_o[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[0]}]
- set_property PACKAGE_PIN U7 [get_ports {LpOutData_o[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[1]}]
- set_property PACKAGE_PIN V6 [get_ports {LpOutData_o[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[2]}]
- set_property PACKAGE_PIN U6 [get_ports {LpOutData_o[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[3]}]
- set_property PACKAGE_PIN V5 [get_ports {LpOutData_o[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[4]}]
- set_property PACKAGE_PIN T5 [get_ports {LpOutData_o[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[5]}]
- set_property PACKAGE_PIN T4 [get_ports {LpOutData_o[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[6]}]
- set_property PACKAGE_PIN V4 [get_ports {LpOutData_o[7]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[7]}]
- set_property PACKAGE_PIN U3 [get_ports {LpOutData_o[8]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[8]}]
- set_property PACKAGE_PIN V3 [get_ports {LpOutData_o[9]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[9]}]
- set_property PACKAGE_PIN U2 [get_ports {LpOutData_o[10]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[10]}]
- set_property PACKAGE_PIN V2 [get_ports {LpOutData_o[11]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[11]}]
- set_property PACKAGE_PIN T2 [get_ports {LpOutData_o[12]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[12]}]
- set_property PACKAGE_PIN U1 [get_ports {LpOutData_o[13]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[13]}]
- set_property PACKAGE_PIN R2 [get_ports {LpOutData_o[14]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[14]}]
- set_property PACKAGE_PIN T1 [get_ports {LpOutData_o[15]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {LpOutData_o[15]}]
- #==========================================================================
- # ADC SPI
- set_property PACKAGE_PIN E15 [get_ports Adc1InitMosi_o]
- set_property IOSTANDARD LVCMOS25 [get_ports Adc1InitMosi_o]
- set_property PACKAGE_PIN N15 [get_ports Adc2InitMosi_o]
- set_property IOSTANDARD LVCMOS25 [get_ports Adc2InitMosi_o]
- set_property PACKAGE_PIN F15 [get_ports Adc1InitClk_o]
- set_property IOSTANDARD LVCMOS25 [get_ports Adc1InitClk_o]
- set_property PACKAGE_PIN P16 [get_ports Adc2InitClk_o]
- set_property IOSTANDARD LVCMOS25 [get_ports Adc2InitClk_o]
- set_property PACKAGE_PIN G15 [get_ports Adc1InitCs_o]
- set_property IOSTANDARD LVCMOS25 [get_ports Adc1InitCs_o]
- set_property PACKAGE_PIN P15 [get_ports Adc2InitCs_o]
- set_property IOSTANDARD LVCMOS25 [get_ports Adc2InitCs_o]
- set_property PACKAGE_PIN H15 [get_ports Adc1InitRst_o]
- set_property IOSTANDARD LVCMOS25 [get_ports Adc1InitRst_o]
- set_property PACKAGE_PIN R15 [get_ports Adc2InitRst_o]
- set_property IOSTANDARD LVCMOS25 [get_ports Adc2InitRst_o]
- #
- #==========================================================================
- # OTHER
- set_property PACKAGE_PIN J6 [get_ports Led_o]
- set_property IOSTANDARD LVCMOS33 [get_ports Led_o]
- set_property PACKAGE_PIN R4 [get_ports Overload_o]
- set_property IOSTANDARD LVCMOS33 [get_ports Overload_o]
- #set_property PACKAGE_PIN R8 [get_ports OverloadS_i] ;#NC
- #set_property IOSTANDARD LVCMOS33 [get_ports OverloadS_i]
- set_property PACKAGE_PIN T3 [get_ports StartMeas_i]
- set_property IOSTANDARD LVCMOS33 [get_ports StartMeas_i]
- set_property PACKAGE_PIN N3 [get_ports EndMeas_o]
- set_property IOSTANDARD LVCMOS33 [get_ports EndMeas_o]
- #set_property PACKAGE_PIN T3 [get_ports StartMeas_o] ;#NC
- #set_property IOSTANDARD LVCMOS33 [get_ports StartMeas_o]
- set_property PACKAGE_PIN R3 [get_ports TimersClk_o]
- set_property IOSTANDARD LVCMOS33 [get_ports TimersClk_o]
- # set_property PACKAGE_PIN V14 [get_ports {AmpEn_o[0]}]
- # set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[0]}]
- # set_property PACKAGE_PIN H17 [get_ports {AmpEn_o[1]}]
- # set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[1]}]
- # set_property PACKAGE_PIN V16 [get_ports {AmpEn_o[2]}]
- # set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[2]}]
- # set_property PACKAGE_PIN B16 [get_ports {AmpEn_o[3]}]
- # set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[3]}]
- set_property PACKAGE_PIN H17 [get_ports {AmpEn_o[0]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[0]}]
- set_property PACKAGE_PIN B16 [get_ports {AmpEn_o[1]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[1]}]
- set_property PACKAGE_PIN V16 [get_ports {AmpEn_o[2]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[2]}]
- set_property PACKAGE_PIN V14 [get_ports {AmpEn_o[3]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {AmpEn_o[3]}]
- set_property PACKAGE_PIN K3 [get_ports {PortSel_o[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {PortSel_o[0]}]
- set_property PACKAGE_PIN K4 [get_ports {PortSel_o[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {PortSel_o[1]}]
- #set_property PACKAGE_PIN R3 [get_ports {PortSel_o[2]}] ;#NC
- #set_property IOSTANDARD LVCMOS25 [get_ports {PortSel_o[2]}]
- #set_property PACKAGE_PIN P3 [get_ports {PortSel_o[3]}] ;#NC
- #set_property IOSTANDARD LVCMOS25 [get_ports {PortSel_o[3]}]
- #set_property PACKAGE_PIN F14 [get_ports {PortSelDir_o[0]}] ;#NC BUFF_DIR
- #set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[0]}]
- #set_property PACKAGE_PIN F15 [get_ports {PortSelDir_o[1]}] ;#NC
- #set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[1]}]
- #set_property PACKAGE_PIN R4 [get_ports {PortSelDir_o[2]}] ;#NC
- #set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[2]}]
- #set_property PACKAGE_PIN M4 [get_ports {PortSelDir_o[3]}] ;#NC
- #set_property IOSTANDARD LVCMOS25 [get_ports {PortSelDir_o[3]}]
- #set_property PACKAGE_PIN R7 [get_ports SensEnM_io] ;#NC
- #set_property IOSTANDARD LVCMOS33 [get_ports SensEnM_io]
- #set_property PACKAGE_PIN T3 [get_ports StartMeasDsp_o] ;#NC
- #set_property IOSTANDARD LVCMOS33 [get_ports StartMeasDsp_o]
- set_property PACKAGE_PIN K2 [get_ports Mod_o]
- set_property IOSTANDARD LVCMOS33 [get_ports Mod_o]
- set_property PACKAGE_PIN N2 [get_ports DspTrigOut_i]
- set_property IOSTANDARD LVCMOS33 [get_ports DspTrigOut_i]
- set_property PACKAGE_PIN M2 [get_ports DspTrigIn_o]
- set_property IOSTANDARD LVCMOS33 [get_ports DspTrigIn_o]
- set_property PACKAGE_PIN L4 [get_ports {Trig6to1_io[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Trig6to1_io[0]}]
- set_property PACKAGE_PIN K1 [get_ports {Trig6to1_io[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Trig6to1_io[1]}]
- set_property PACKAGE_PIN B13 [get_ports {Trig6to1_io[2]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[2]}]
- set_property PACKAGE_PIN A13 [get_ports {Trig6to1_io[3]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[3]}]
- set_property PACKAGE_PIN B14 [get_ports {Trig6to1_io[4]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[4]}]
- set_property PACKAGE_PIN A14 [get_ports {Trig6to1_io[5]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1_io[5]}]
- set_property PACKAGE_PIN N5 [get_ports {Trig6to1Dir_o[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Trig6to1Dir_o[0]}]
- set_property PACKAGE_PIN M5 [get_ports {Trig6to1Dir_o[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {Trig6to1Dir_o[1]}]
- set_property PACKAGE_PIN D12 [get_ports {Trig6to1Dir_o[2]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[2]}]
- set_property PACKAGE_PIN E12 [get_ports {Trig6to1Dir_o[3]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[3]}]
- set_property PACKAGE_PIN B15 [get_ports {Trig6to1Dir_o[4]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[4]}]
- set_property PACKAGE_PIN A15 [get_ports {Trig6to1Dir_o[5]}]
- set_property IOSTANDARD LVCMOS25 [get_ports {Trig6to1Dir_o[5]}]
- set_property PACKAGE_PIN A16 [get_ports DitherCtrlCh1_o]
- set_property IOSTANDARD LVCMOS25 [get_ports DitherCtrlCh1_o]
- set_property PACKAGE_PIN V15 [get_ports DitherCtrlCh2_o]
- set_property IOSTANDARD LVCMOS25 [get_ports DitherCtrlCh2_o]
- set_property PACKAGE_PIN M1 [get_ports DspReadyForRx_i]
- set_property IOSTANDARD LVCMOS33 [get_ports DspReadyForRx_i]
- set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Ss_i_IBUF]
- set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Sck_i_IBUF]
- set_false_path -from [get_clocks -of_objects [get_pins Clk200Gen/rx_plle2_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins Clk200Gen/rx_plle2_adv_inst/CLKOUT0]]
- connect_debug_port u_ila_0/probe1 [get_nets [list {ExternalDspInterface/filteredDecimDataQ[0]} {ExternalDspInterface/filteredDecimDataQ[1]} {ExternalDspInterface/filteredDecimDataQ[2]} {ExternalDspInterface/filteredDecimDataQ[3]} {ExternalDspInterface/filteredDecimDataQ[4]} {ExternalDspInterface/filteredDecimDataQ[5]} {ExternalDspInterface/filteredDecimDataQ[6]} {ExternalDspInterface/filteredDecimDataQ[7]} {ExternalDspInterface/filteredDecimDataQ[8]} {ExternalDspInterface/filteredDecimDataQ[9]} {ExternalDspInterface/filteredDecimDataQ[10]} {ExternalDspInterface/filteredDecimDataQ[11]} {ExternalDspInterface/filteredDecimDataQ[12]} {ExternalDspInterface/filteredDecimDataQ[13]} {ExternalDspInterface/filteredDecimDataQ[14]} {ExternalDspInterface/filteredDecimDataQ[15]}]]
- connect_debug_port u_ila_0/probe1 [get_nets [list ExternalDspInterface/dataForFifoVal]]
- connect_debug_port u_ila_0/probe3 [get_nets [list {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[0]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[1]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[2]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[3]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[4]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[5]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[6]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[7]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[8]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[9]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[10]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[11]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[12]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[13]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[14]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[15]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[16]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[17]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[18]} {InternalDsp/DspChannel[0].AdcCalibrationInst/calValue[19]}]]
|