|
|
@@ -297,148 +297,148 @@ set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Clk123_i_IBUF]
|
|
|
|
|
|
|
|
|
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
|
|
|
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks Clk123_i]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks Clk123_i]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks Clk123_i]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks Clk123_i]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
|
|
|
|
|
|
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks Clk123_i]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks Clk123_i]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
|
|
|
|
|
|
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks Clk123_i]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks Clk123_i]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
|
|
|
|
|
|
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks Clk123_i]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks Clk123_i]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
|
|
|
|
|
|
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks Clk123_i]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks Clk123_i]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
|
|
|
|
|
|
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks Clk123_i]
|
|
|
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks Clk123_i]
|
|
|
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
|
|
|
# ## Clk0 to other clocks interactions (MUX)
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
# ## Clk1 to other clocks interactions (MUX)
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
# ## Clk2 to other clocks interactions (MUX)
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
# ## Clk3 to other clocks interactions (MUX)
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
# ## Clk4 to other clocks interactions (MUX)
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
# ## Clk5 to other clocks interactions (MUX)
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
|
|
|
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
|
|
|
|
|
|
|
|
|
|