Browse Source

Промежуточные изменения

Anatoliy Chigirinskiy 1 năm trước cách đây
mục cha
commit
431c03bc13

BIN
Docs/BY5443v_новая_шина_вер_3 (2).vsd


+ 106 - 106
constrs_1/new/S5443_3.xdc

@@ -297,148 +297,148 @@ set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Clk123_i_IBUF]
 
 
 
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
 
 
 
 
 
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
-# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+# set_clock_groups -async -group [get_clocks Clk123_i] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
 
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks Clk123_i]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks Clk123_i]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks Clk123_i]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks Clk123_i]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
 
 
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks Clk123_i]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks Clk123_i]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
 
 
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks Clk123_i]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks Clk123_i]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
 
 
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks Clk123_i]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks Clk123_i]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
 
 
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks Clk123_i]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks Clk123_i]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
 
 
-set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks Clk123_i]
-set_false_path -from [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+set_false_path -from [get_clocks Clk123_i] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks Clk123_i]
+set_false_path -from [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
 
 # ## Clk0 to other clocks interactions (MUX)
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
 # ## Clk1 to other clocks interactions (MUX)
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
 # ## Clk2 to other clocks interactions (MUX)
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
 # ## Clk3 to other clocks interactions (MUX)
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
 # ## Clk4 to other clocks interactions (MUX)
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
 # ## Clk5 to other clocks interactions (MUX)
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
-# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins MainMmcm/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT0]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT1]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT2]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT3]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT4]]
+# set_clock_groups -logically_exclusive -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT5]] -group [get_clocks -of_objects [get_pins ClockManager/ClkDiv_inst/inst/mmcm_adv_inst/CLKOUT6]]
 
 
 

+ 1 - 1
sources_1/new/MMCM/ClkGen.v

@@ -1,4 +1,4 @@
-module ClkGen (
+module ClkDivider (
   input Clk_i,
   input [3:0] ClkDiv_i,
   input Rst_i,

sources_1/new/MMCM/ClkGen_tb.v → sources_1/new/ClkManager/ClkGen_tb.v


+ 6 - 14
sources_1/new/MMCM/MmcmWrapper.v

@@ -1,5 +1,5 @@
 
-module MmcmWrapper 
+module ClkManager 
 #(
 	parameter	SpiNum	=	7,
    parameter   STAGES   =  3
@@ -74,14 +74,6 @@ wire [SpiNum-1:0] spiClk;
    assign clkCh[5] = BaudRate5_i[4];
    assign clkCh[6] = BaudRate6_i[4];
 
-   // assign SpiClk_o[0] = spiClk[0];
-   // assign SpiClk_o[1] = spiClk[1];
-   // assign SpiClk_o[2] = spiClk[2];
-   // assign SpiClk_o[3] = spiClk[3];
-   // assign SpiClk_o[4] = spiClk[4];
-   // assign SpiClk_o[5] = spiClk[5];
-   // assign SpiClk_o[6] = spiClk[6];
-
    assign SpiClk_o = spiClk; 
    assign Clk100_o = clk0out;
    assign Clk80_o = clk1out;
@@ -98,17 +90,17 @@ wire [SpiNum-1:0] spiClk;
 
    generate
       for (i=0; i < SpiNum; i = i +1) begin : ClkGen
-         ClkGen ClkGen_inst (
+         ClkDivider ClkDivider (
             .Clk_i(clk1out),
             .ClkDiv_i(clkDivSync[i]),
             .Rst_i(Rst80_i),
             .Clk_o(clkMan[i])
          );
 
-         ClkDivSync #(
+         CmdSync #(
             .WIDTH(4),
             .STAGES(STAGES)
-         ) ClkDiv_Inst (
+         ) CmdSync (
             .ClkFast_i(Clk_i),
             .ClkSlow_i(clk1out),
             .ClkDiv_i(clkDiv[i]),
@@ -116,7 +108,7 @@ wire [SpiNum-1:0] spiClk;
 
          );
 
-         clkOutMMCM clkOutMMCM_inst (
+         MmcmClkMux MmcmClkMux (
             .Rst_i(Rst_i),
             .clkNum(clkNum[i]),
             .clk0out(clk0out),
@@ -129,7 +121,7 @@ wire [SpiNum-1:0] spiClk;
             .ClkOutMMCM_o(clkOutMMCM[i])
          );
    
-         ClkCh ClkCh_inst (
+         SpiClkMux SpiClkMux (
             .Rst_i(Rst_i),
             .clkCh(clkCh[i]),
             .clkOutMMCM(clkOutMMCM[i]),

+ 1 - 1
sources_1/new/MMCM/ClkDivSync.v

@@ -1,4 +1,4 @@
-module ClkDivSync #(
+module CmdSync #(
     parameter WIDTH = 4,
     parameter STAGES = 3
 

+ 1 - 1
sources_1/new/MMCM/ClkOutMMCM.v

@@ -1,4 +1,4 @@
-module clkOutMMCM(
+module MmcmClkMux(
 input Rst_i,
 input [2:0]clkNum,
 input clk0out,

+ 1 - 1
sources_1/new/MMCM/ClkCh.v

@@ -1,4 +1,4 @@
-module ClkCh (
+module SpiClkMux (
     input Rst_i,
     input clkCh,
     input clkOutMMCM,

+ 8 - 13
sources_1/new/Mux/DataMuxer.v

@@ -1,5 +1,5 @@
 
-module DataMuxer 
+module SmcInDataMux 
 #(
     parameter	CmdRegWidth	=	16,
     parameter	AddrRegWidth=	12,
@@ -57,9 +57,9 @@ module DataMuxer
 
 	output	RequestToFifo_o,
 
-	output	reg	ToRegMapVal_o,
-	output	reg	[CmdRegWidth-1:0]	ToRegMapData_o,
-    output	reg	[AddrRegWidth-1:0]	ToRegMapAddr_o,
+	output		ToRegMapVal_o,
+	output		[CmdRegWidth-1:0]	ToRegMapData_o,
+    output		[AddrRegWidth-1:0]	ToRegMapAddr_o,
 	
 	output	reg	[FifoNum-1:0]	ToFifoVal_o,
 	output	reg	[CmdRegWidth*2*FifoNum-1:0]	ToFifoData_o
@@ -81,6 +81,10 @@ module DataMuxer
 //	ASSIGNMENTS
 //================================================================================
 	assign	RequestToFifo_o	=	requestToFifo;
+
+	assign ToRegMapData_o = (requestToFifo) ? 16'h0 : SmcData_i;
+	assign ToRegMapAddr_o = (requestToFifo) ? 12'h0	: SmcAddr_i;
+	assign ToRegMapVal_o  = (requestToFifo) ? 1'b0	: SmcVal_i;
 //================================================================================
 //	LOCALPARAMS
 //================================================================================
@@ -91,10 +95,6 @@ module DataMuxer
 
 	always	@(posedge	Clk_i	or	posedge	Rst_i)	begin
 		if	(Rst_i)	begin
-			ToRegMapVal_o	<=	1'b0;
-			ToRegMapData_o	<=	16'h0;
-			ToRegMapAddr_o	<=	12'h0;
-			
 			ToFifoVal_o		<=	7'h0;
 			ToFifoData_o	<=	0;
 		end	else	begin
@@ -163,13 +163,8 @@ module DataMuxer
 										ToFifoData_o[CmdRegWidth*13+:CmdRegWidth]	<=	SmcData_i;
 									end
 				endcase
-				ToRegMapAddr_o	<=	0;
-				ToRegMapVal_o	<=	0;
 			end	else	begin
-				ToRegMapVal_o	<=	SmcVal_i;
 				ToFifoVal_o		<=	7'h0;
-				ToRegMapData_o	<=	SmcData_i;
-				ToRegMapAddr_o	<=	SmcAddr_i;
 				ToFifoData_o	<=	0;
 			end
 		end

+ 3 - 11
sources_1/new/S5443_3Top.v

@@ -295,14 +295,6 @@ module S5443_3Top
     assign smcValComb = (!SmcAmsN_i && !SmcAwe_i) ? 1'b1 : 1'b0;
     assign txEn = spiTxRxEn[6:0];
 
-    // assign Mosi1_io[0] =(SpiDir_o[0])?mosi1[0]:1'bz;
-    // assign Mosi1_io[1] =(SpiDir_o[1])?mosi1[1]:1'bz;
-    // assign Mosi1_io[2] =(SpiDir_o[2])?mosi1[2]:1'bz;
-    // assign Mosi1_io[3] =(SpiDir_o[3])?mosi1[3]:1'bz;
-    // assign Mosi1_io[4] =(SpiDir_o[4])?mosi1[4]:1'bz;
-    // assign Mosi1_io[5] =(SpiDir_o[5])?mosi1[5]:1'bz;
-    // assign Mosi1_io[6] =(SpiDir_o[6])?mosi1[6]:1'bz;
-  
     assign widthSel[0] = spi0CtrlRR[6:5];
     assign widthSel[1] = spi1CtrlRR[6:5];
     assign widthSel[2] = spi2CtrlRR[6:5];
@@ -527,7 +519,7 @@ module S5443_3Top
        .I(Clk123_i)  // 1-bit input: Clock input
     );
     
-    DataMuxer DataMuxer
+    SmcInDataMux SmcInDataMux
     (
         .Clk_i(gclk),
         .Rst_i(initRst),
@@ -728,11 +720,11 @@ module S5443_3Top
 
     );
     
-    MmcmWrapper #(
+    ClkManager #(
         .SpiNum(SpiNum),
         .STAGES(STAGES) 
 
-    ) MainMmcm
+    ) ClkManager
     (
     	.Clk_i(gclk),
     	.Rst_i(initRst),

+ 61 - 56
sources_1/new/S5443_3_tb.v

@@ -131,80 +131,80 @@ localparam [15:0] GPIORegDataRstOff = {15'h0, 1'b0};
 //	           SPI1HEADERS
 //***********************************************
 localparam [10:0] BaseAddr1 = 11'h50;
-localparam [10:0] Spi1CtrlAddr = BaseAddr1;
-localparam [10:0] Spi1ClkAddr = BaseAddr1 + 4;
-localparam [10:0] Spi1CsDelayAddr = BaseAddr1 + 8;
-localparam [10:0] Spi1CsCtrlAddr = BaseAddr1 + 12;
-localparam [10:0] Spi1TxFifoCtrlAddr = BaseAddr1 + 16;
-localparam [10:0] Spi1RxFifoCtrlAddr = BaseAddr1 + 20;
-localparam [10:0] Spi1TxFifoAddr = BaseAddr1 + 24;
-localparam [10:0] Spi1RxFifoAddr = BaseAddr1 + 28;
+localparam [10:0] Spi1CtrlAddr = (BaseAddr1)>>1;
+localparam [10:0] Spi1ClkAddr = (BaseAddr1 + 4)>>1;
+localparam [10:0] Spi1CsDelayAddr = (BaseAddr1 + 8)>>1;
+localparam [10:0] Spi1CsCtrlAddr = (BaseAddr1 + 12)>>1;
+localparam [10:0] Spi1TxFifoCtrlAddr = (BaseAddr1 + 16)>>1;
+localparam [10:0] Spi1RxFifoCtrlAddr = (BaseAddr1 + 20)>>1;
+localparam [10:0] Spi1TxFifoAddr = (BaseAddr1 + 24)>>1;
+localparam [10:0] Spi1RxFifoAddr = (BaseAddr1 + 28)>>1;
 
 //***********************************************
 //	           SPI2HEADERS
 //***********************************************
 localparam [10:0] BaseAddr2 = 11'hF0;
-localparam [10:0] Spi2CtrlAddr = BaseAddr2;
-localparam [10:0] Spi2ClkAddr = BaseAddr2 + 4;
-localparam [10:0] Spi2CsDelayAddr = BaseAddr2 + 8;
-localparam [10:0] Spi2CsCtrlAddr = BaseAddr2 + 12;
-localparam [10:0] Spi2TxFifoCtrlAddr = BaseAddr2 + 16;
-localparam [10:0] Spi2RxFifoCtrlAddr = BaseAddr2 + 20;
-localparam [10:0] Spi2TxFifoAddr = BaseAddr2 + 24;
-localparam [10:0] Spi2RxFifoAddr = BaseAddr2 + 28;
+localparam [10:0] Spi2CtrlAddr = (BaseAddr2)>>1;
+localparam [10:0] Spi2ClkAddr = (BaseAddr2 + 4)>>1;
+localparam [10:0] Spi2CsDelayAddr = (BaseAddr2 + 8)>>1;
+localparam [10:0] Spi2CsCtrlAddr = (BaseAddr2 + 12)>>1;
+localparam [10:0] Spi2TxFifoCtrlAddr = (BaseAddr2 + 16)>>1;
+localparam [10:0] Spi2RxFifoCtrlAddr = (BaseAddr2 + 20)>>1;
+localparam [10:0] Spi2TxFifoAddr = (BaseAddr2 + 24)>>1;
+localparam [10:0] Spi2RxFifoAddr = (BaseAddr2 + 28)>>1;
 
 //***********************************************
 //	           SPI3HEADERS
 //***********************************************
 localparam [10:0] BaseAddr3 = 11'h140;
-localparam [10:0] Spi3CtrlAddr = BaseAddr3;
-localparam [10:0] Spi3ClkAddr = BaseAddr3 + 4;
-localparam [10:0] Spi3CsDelayAddr = BaseAddr3 + 8;
-localparam [10:0] Spi3CsCtrlAddr = BaseAddr3 + 12;
-localparam [10:0] Spi3TxFifoCtrlAddr = BaseAddr3 + 16;
-localparam [10:0] Spi3RxFifoCtrlAddr = BaseAddr3 + 20;
-localparam [10:0] Spi3TxFifoAddr = BaseAddr3 + 24;
-localparam [10:0] Spi3RxFifoAddr = BaseAddr3 + 28;
+localparam [10:0] Spi3CtrlAddr = (BaseAddr3)>>1;
+localparam [10:0] Spi3ClkAddr = (BaseAddr3 + 4)>>1;
+localparam [10:0] Spi3CsDelayAddr = (BaseAddr3 + 8)>>1;
+localparam [10:0] Spi3CsCtrlAddr = (BaseAddr3 + 12)>>1;
+localparam [10:0] Spi3TxFifoCtrlAddr = (BaseAddr3 + 16)>>1;
+localparam [10:0] Spi3RxFifoCtrlAddr = (BaseAddr3 + 20)>>1;
+localparam [10:0] Spi3TxFifoAddr = (BaseAddr3 + 24)>>1;
+localparam [10:0] Spi3RxFifoAddr = (BaseAddr3 + 28)>>1;
 
 //***********************************************
 //	           SPI4HEADERS
 //***********************************************
 localparam [10:0] BaseAddr4 = 11'h190;
-localparam [10:0] Spi4CtrlAddr = BaseAddr4;
-localparam [10:0] Spi4ClkAddr = BaseAddr4 + 4;
-localparam [10:0] Spi4CsDelayAddr = BaseAddr4 + 8;
-localparam [10:0] Spi4CsCtrlAddr = BaseAddr4 + 12;
-localparam [10:0] Spi4TxFifoCtrlAddr = BaseAddr4 + 16;
-localparam [10:0] Spi4RxFifoCtrlAddr = BaseAddr4 + 20;
-localparam [10:0] Spi4TxFifoAddr = BaseAddr4 + 24;
-localparam [10:0] Spi4RxFifoAddr = BaseAddr4 + 28;
+localparam [10:0] Spi4CtrlAddr = (BaseAddr4)>>1;
+localparam [10:0] Spi4ClkAddr = (BaseAddr4 + 4)>>1;
+localparam [10:0] Spi4CsDelayAddr = (BaseAddr4 + 8)>>1;
+localparam [10:0] Spi4CsCtrlAddr = (BaseAddr4 + 12)>>1;
+localparam [10:0] Spi4TxFifoCtrlAddr = (BaseAddr4 + 16)>>1;
+localparam [10:0] Spi4RxFifoCtrlAddr = (BaseAddr4 + 20)>>1;
+localparam [10:0] Spi4TxFifoAddr = (BaseAddr4 + 24)>>1;
+localparam [10:0] Spi4RxFifoAddr = (BaseAddr4 + 28)>>1;
 
 //***********************************************
 //	           SPI5HEADERS
 //***********************************************
 localparam [10:0] BaseAddr5 = 11'h1E0;
-localparam [10:0] Spi5CtrlAddr = BaseAddr5;
-localparam [10:0] Spi5ClkAddr = BaseAddr5 + 4;
-localparam [10:0] Spi5CsDelayAddr = BaseAddr5 + 8;
-localparam [10:0] Spi5CsCtrlAddr = BaseAddr5 + 12;
-localparam [10:0] Spi5TxFifoCtrlAddr = BaseAddr5 + 16;
-localparam [10:0] Spi5RxFifoCtrlAddr = BaseAddr5 + 20;
-localparam [10:0] Spi5TxFifoAddr = BaseAddr5 + 24;
-localparam [10:0] Spi5RxFifoAddr = BaseAddr5 + 28;
+localparam [10:0] Spi5CtrlAddr = (BaseAddr5)>>1;
+localparam [10:0] Spi5ClkAddr = (BaseAddr5 + 4)>>1;
+localparam [10:0] Spi5CsDelayAddr = (BaseAddr5 + 8)>>1;
+localparam [10:0] Spi5CsCtrlAddr = (BaseAddr5 + 12)>>1;
+localparam [10:0] Spi5TxFifoCtrlAddr = (BaseAddr5 + 16)>>1;
+localparam [10:0] Spi5RxFifoCtrlAddr = (BaseAddr5 + 20)>>1;
+localparam [10:0] Spi5TxFifoAddr = (BaseAddr5 + 24)>>1;
+localparam [10:0] Spi5RxFifoAddr = (BaseAddr5 + 28)>>1;
 
 
 //***********************************************
 //	           SPI5HEADERS
 //***********************************************
 localparam [10:0] BaseAddr6 = 11'h230;
-localparam [10:0] Spi6CtrlAddr = BaseAddr6;
-localparam [10:0] Spi6ClkAddr = BaseAddr6 + 4;
-localparam [10:0] Spi6CsDelayAddr = BaseAddr6 + 8;
-localparam [10:0] Spi6CsCtrlAddr = BaseAddr6 + 12;
-localparam [10:0] Spi6TxFifoCtrlAddr = BaseAddr6 + 16;
-localparam [10:0] Spi6RxFifoCtrlAddr = BaseAddr6 + 20;
-localparam [10:0] Spi6TxFifoAddr = BaseAddr6 + 24;
-localparam [10:0] Spi6RxFifoAddr = BaseAddr6 + 28;
+localparam [10:0] Spi6CtrlAddr = (BaseAddr6)>>1;
+localparam [10:0] Spi6ClkAddr = (BaseAddr6 + 4)>>1;
+localparam [10:0] Spi6CsDelayAddr = (BaseAddr6 + 8)>>1;
+localparam [10:0] Spi6CsCtrlAddr = (BaseAddr6 + 12)>>1;
+localparam [10:0] Spi6TxFifoCtrlAddr = (BaseAddr6 + 16)>>1;
+localparam [10:0] Spi6RxFifoCtrlAddr = (BaseAddr6 + 20)>>1;
+localparam [10:0] Spi6TxFifoAddr = (BaseAddr6 + 24)>>1;
+localparam [10:0] Spi6RxFifoAddr = (BaseAddr6 + 28)>>1;
 
 
 //***********************************************
@@ -217,7 +217,7 @@ localparam SpiTxRxEnAddr = 11'h780;
 //	           GPIO Reg Adress
 //***********************************************
 
-localparam GPIOAddr = 11'hFF0;
+localparam GPIOAddr = 11'h7F8;
 
 //***********************************************
 //	           ASSIGNS
@@ -276,14 +276,19 @@ always @(posedge Clk_i) begin
 end
 
 
-always @(posedge Clk_i) begin 
+always @(*) begin 
     if (Rst_i) begin 
         SmcAre_i <= 1'b1;
     end
     else begin 
         if (tb_cnt > 330 && tb_cnt <= 374) begin
-            if (tb_cnt % 2 == 0) begin 
-                SmcAre_i <= 1'b0;
+            if (tb_cnt % 2 != 0) begin
+                if (areCnt < 2) begin 
+                    SmcAre_i <= 1'b0;
+                end
+                else begin 
+                    SmcAre_i = 1'b1;
+                end
             end
             else begin  
                 SmcAre_i <= 1'b1;
@@ -342,11 +347,11 @@ always @(posedge Clk_i) begin
             end
             else begin 
                 if (tb_cnt % 2 == 0) begin
-                    if (minorByte != 0) begin  
-                        SmcAddr_i <= Spi0RxFifoAddrL;
+                    if (minorByte == 0) begin  
+                        SmcAddr_i <= Spi0RxFifoCtrlAddr;
                     end
                     else begin 
-                        SmcAddr_i <= Spi0RxFifoAddrM;
+                        SmcAddr_i <= Spi0RxFifoAddrL;
                     end
                 end
             end
@@ -370,7 +375,7 @@ always @(posedge Clk_i) begin
 end
 
 always @(posedge Clk_i) begin 
-    if (SmcAddr_i == Spi0RxFifoAddrM) begin 
+    if (SmcAddr_i == Spi0RxFifoCtrlAddr) begin 
         minorByte <= 1'b1;
     end
     else begin