|
@@ -87,6 +87,8 @@ set_property PACKAGE_PIN K1 [get_ports {Sck_o[0]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[0]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[0]}]
|
|
|
set_property PACKAGE_PIN H1 [get_ports {Ss_o[0]}]
|
|
set_property PACKAGE_PIN H1 [get_ports {Ss_o[0]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[0]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[0]}]
|
|
|
|
|
+set_property PACKAGE_PIN K2 [get_ports {SsFlash_o[0]}]
|
|
|
|
|
+set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[0]}]
|
|
|
set_property PACKAGE_PIN J1 [get_ports {Mosi0_o[0]}]
|
|
set_property PACKAGE_PIN J1 [get_ports {Mosi0_o[0]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[0]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[0]}]
|
|
|
set_property PACKAGE_PIN J3 [get_ports {Mosi1_o[0]}]
|
|
set_property PACKAGE_PIN J3 [get_ports {Mosi1_o[0]}]
|
|
@@ -107,6 +109,8 @@ set_property PACKAGE_PIN N2 [get_ports {Sck_o[1]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[1]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[1]}]
|
|
|
set_property PACKAGE_PIN N4 [get_ports {Ss_o[1]}]
|
|
set_property PACKAGE_PIN N4 [get_ports {Ss_o[1]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[1]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[1]}]
|
|
|
|
|
+set_property PACKAGE_PIN P1 [get_ports {SsFlash_o[1]}]
|
|
|
|
|
+set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[1]}]
|
|
|
set_property PACKAGE_PIN N3 [get_ports {Mosi0_o[1]}]
|
|
set_property PACKAGE_PIN N3 [get_ports {Mosi0_o[1]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[1]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[1]}]
|
|
|
set_property PACKAGE_PIN R2 [get_ports {Mosi1_o[1]}]
|
|
set_property PACKAGE_PIN R2 [get_ports {Mosi1_o[1]}]
|
|
@@ -127,6 +131,8 @@ set_property PACKAGE_PIN E2 [get_ports {Sck_o[2]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[2]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[2]}]
|
|
|
set_property PACKAGE_PIN E1 [get_ports {Ss_o[2]}]
|
|
set_property PACKAGE_PIN E1 [get_ports {Ss_o[2]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[2]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[2]}]
|
|
|
|
|
+set_property PACKAGE_PIN F1 [get_ports {SsFlash_o[2]}]
|
|
|
|
|
+set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[2]}]
|
|
|
set_property PACKAGE_PIN D1 [get_ports {Mosi0_o[2]}]
|
|
set_property PACKAGE_PIN D1 [get_ports {Mosi0_o[2]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[2]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[2]}]
|
|
|
set_property PACKAGE_PIN D2 [get_ports {Mosi1_o[2]}]
|
|
set_property PACKAGE_PIN D2 [get_ports {Mosi1_o[2]}]
|
|
@@ -146,6 +152,8 @@ set_property PACKAGE_PIN R10 [get_ports {Sck_o[3]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[3]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[3]}]
|
|
|
set_property PACKAGE_PIN P10 [get_ports {Ss_o[3]}]
|
|
set_property PACKAGE_PIN P10 [get_ports {Ss_o[3]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[3]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[3]}]
|
|
|
|
|
+set_property PACKAGE_PIN N10 [get_ports {SsFlash_o[3]}]
|
|
|
|
|
+set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[3]}]
|
|
|
set_property PACKAGE_PIN N8 [get_ports {Mosi0_o[3]}]
|
|
set_property PACKAGE_PIN N8 [get_ports {Mosi0_o[3]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[3]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[3]}]
|
|
|
set_property PACKAGE_PIN R8 [get_ports {Mosi1_o[3]}]
|
|
set_property PACKAGE_PIN R8 [get_ports {Mosi1_o[3]}]
|
|
@@ -167,6 +175,8 @@ set_property PACKAGE_PIN R14 [get_ports {Sck_o[4]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[4]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[4]}]
|
|
|
set_property PACKAGE_PIN N14 [get_ports {Ss_o[4]}]
|
|
set_property PACKAGE_PIN N14 [get_ports {Ss_o[4]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[4]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[4]}]
|
|
|
|
|
+set_property PACKAGE_PIN P14 [get_ports {SsFlash_o[4]}]
|
|
|
|
|
+set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[4]}]
|
|
|
set_property PACKAGE_PIN R13 [get_ports {Mosi0_o[4]}]
|
|
set_property PACKAGE_PIN R13 [get_ports {Mosi0_o[4]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[4]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[4]}]
|
|
|
set_property PACKAGE_PIN P12 [get_ports {Mosi1_o[4]}]
|
|
set_property PACKAGE_PIN P12 [get_ports {Mosi1_o[4]}]
|
|
@@ -187,6 +197,8 @@ set_property PACKAGE_PIN P6 [get_ports {Sck_o[5]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[5]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[5]}]
|
|
|
set_property PACKAGE_PIN R5 [get_ports {Ss_o[5]}]
|
|
set_property PACKAGE_PIN R5 [get_ports {Ss_o[5]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[5]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[5]}]
|
|
|
|
|
+set_property PACKAGE_PIN R6 [get_ports {SsFlash_o[5]}]
|
|
|
|
|
+set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[5]}]
|
|
|
set_property PACKAGE_PIN R4 [get_ports {Mosi0_o[5]}]
|
|
set_property PACKAGE_PIN R4 [get_ports {Mosi0_o[5]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[5]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[5]}]
|
|
|
set_property PACKAGE_PIN R3 [get_ports {Mosi1_o[5]}]
|
|
set_property PACKAGE_PIN R3 [get_ports {Mosi1_o[5]}]
|
|
@@ -206,6 +218,8 @@ set_property PACKAGE_PIN B5 [get_ports {Sck_o[6]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[6]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Sck_o[6]}]
|
|
|
set_property PACKAGE_PIN B3 [get_ports {Ss_o[6]}]
|
|
set_property PACKAGE_PIN B3 [get_ports {Ss_o[6]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[6]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Ss_o[6]}]
|
|
|
|
|
+set_property PACKAGE_PIN A4 [get_ports {SsFlash_o[6]}]
|
|
|
|
|
+set_property IOSTANDARD LVCMOS33 [get_ports {SsFlash_o[6]}]
|
|
|
set_property PACKAGE_PIN B1 [get_ports {Mosi0_o[6]}]
|
|
set_property PACKAGE_PIN B1 [get_ports {Mosi0_o[6]}]
|
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[6]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {Mosi0_o[6]}]
|
|
|
set_property PACKAGE_PIN C4 [get_ports {Mosi1_o[6]}]
|
|
set_property PACKAGE_PIN C4 [get_ports {Mosi1_o[6]}]
|
|
@@ -231,8 +245,8 @@ set_property IOSTANDARD LVCMOS33 [get_ports Clk123_i]
|
|
|
create_clock -period 8.130 -name Clk123_i -waveform {0.000 4.065} -add [get_ports Clk123_i]
|
|
create_clock -period 8.130 -name Clk123_i -waveform {0.000 4.065} -add [get_ports Clk123_i]
|
|
|
|
|
|
|
|
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Clk123_i_IBUF]
|
|
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Clk123_i_IBUF]
|
|
|
-set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets writeEn_i_IBUF]
|
|
|
|
|
-set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets readEn_i_IBUF]
|
|
|
|
|
|
|
+# set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets writeEn_i_IBUF]
|
|
|
|
|
+# set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets readEn_i_IBUF]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|