|
|
@@ -2,8 +2,8 @@ set_property PACKAGE_PIN D2 [get_ports Adc1DataDa0P_i]
|
|
|
set_property PACKAGE_PIN E2 [get_ports Adc1DataDa1P_i]
|
|
|
set_property PACKAGE_PIN K1 [get_ports Adc1DataDb0P_i]
|
|
|
set_property PACKAGE_PIN M1 [get_ports Adc1DataDb1P_i]
|
|
|
-set_property PACKAGE_PIN F15 [get_ports Adc2DataDa0P_i]
|
|
|
-set_property PACKAGE_PIN D15 [get_ports Adc2DataDa1P_i]
|
|
|
+set_property PACKAGE_PIN F15 [get_ports Adc2DataDa0N_i]
|
|
|
+set_property PACKAGE_PIN D15 [get_ports Adc2DataDa1N_i]
|
|
|
set_property PACKAGE_PIN A13 [get_ports Adc2DataDb0P_i]
|
|
|
set_property PACKAGE_PIN A11 [get_ports Adc2DataDb1P_i]
|
|
|
|
|
|
@@ -12,12 +12,13 @@ set_property PACKAGE_PIN A11 [get_ports Adc2DataDb1P_i]
|
|
|
# TIMING CONSTRAINTS
|
|
|
|
|
|
|
|
|
+
|
|
|
#==========================================================================
|
|
|
# INPUT CLOCKS
|
|
|
-set_property PACKAGE_PIN H3 [get_ports ClkP_i]
|
|
|
-set_property IOSTANDARD LVDS_25 [get_ports ClkP_i]
|
|
|
+set_property PACKAGE_PIN H3 [get_ports ClkN_i]
|
|
|
set_property IOSTANDARD LVDS_25 [get_ports ClkN_i]
|
|
|
-create_clock -period 20.000 [get_ports ClkP_i]
|
|
|
+set_property IOSTANDARD LVDS_25 [get_ports ClkP_i]
|
|
|
+create_clock -period 20.000 [get_ports ClkN_i]
|
|
|
|
|
|
#==========================================================================
|
|
|
# ADC1
|
|
|
@@ -41,7 +42,7 @@ set_property IOSTANDARD LVDS_25 [get_ports Adc1DataDb1N_i]
|
|
|
#==========================================================================
|
|
|
# ADC2
|
|
|
|
|
|
-set_property PACKAGE_PIN B15 [get_ports Adc2FclkP_i]
|
|
|
+set_property PACKAGE_PIN B15 [get_ports Adc2FclkN_i]
|
|
|
set_property IOSTANDARD LVDS_25 [get_ports Adc2FclkP_i]
|
|
|
set_property IOSTANDARD LVDS_25 [get_ports Adc2FclkN_i]
|
|
|
|
|
|
@@ -241,11 +242,8 @@ set_property IOSTANDARD LVCMOS25 [get_ports DitherCtrlCh1_o]
|
|
|
set_property PACKAGE_PIN G14 [get_ports DitherCtrlCh2_o]
|
|
|
set_property IOSTANDARD LVCMOS25 [get_ports DitherCtrlCh2_o]
|
|
|
|
|
|
-set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Ss_i_IBUF]
|
|
|
+#set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Ss_i_IBUF]
|
|
|
|
|
|
#set_false_path -from [get_clocks -of_objects [get_pins Clk200Gen/rx_plle2_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins Clk200Gen/rx_plle2_adv_inst/CLKOUT0]]
|
|
|
|
|
|
|
|
|
-connect_debug_port u_ila_0/clk [get_nets [list gclk_BUFG]]
|
|
|
-connect_debug_port dbg_hub/clk [get_nets gclk_BUFG]
|
|
|
-
|